From 00869fc16eb4179ab3b781a326c8eb8704b4ed1e Mon Sep 17 00:00:00 2001 From: alexmadison Date: Tue, 1 Mar 2022 15:26:43 +0100 Subject: [PATCH] programmable delay tested --- dataflow_neuro/primitives.act | 59 +++++++ test/unit_tests/delayprog_4/run/prsim.out | 134 +++++++++++++++ test/unit_tests/delayprog_4/run/prsim.pdf | Bin 0 -> 44304 bytes test/unit_tests/delayprog_4/run/test.prs | 190 ++++++++++++++++++++++ test/unit_tests/delayprog_4/test.act | 41 +++++ test/unit_tests/delayprog_4/test.prsim | 42 +++++ 6 files changed, 466 insertions(+) create mode 100644 test/unit_tests/delayprog_4/run/prsim.out create mode 100644 test/unit_tests/delayprog_4/run/prsim.pdf create mode 100644 test/unit_tests/delayprog_4/run/test.prs create mode 100644 test/unit_tests/delayprog_4/test.act create mode 100644 test/unit_tests/delayprog_4/test.prsim diff --git a/dataflow_neuro/primitives.act b/dataflow_neuro/primitives.act index b8a7ffb..4dd5abe 100644 --- a/dataflow_neuro/primitives.act +++ b/dataflow_neuro/primitives.act @@ -587,4 +587,63 @@ namespace tmpl { BUF_X1 reset_buf(.a=reset_B, .y=_reset_BX,.vdd=supply.vdd,.vss=supply.vss); sigbuf reset_bufarray(.in=_reset_BX, .out=_reset_BXX); } + + // Programmable delay line. + // N is the number of layers, + // the longest layer having 2**N DLY elements + export template + defproc delayprog (bool! y; bool? a, s[N]; power supply) + { + + { N >= 0 : "What?" }; + { N < 9 : "Delay prog size is given in 2**N. Given N is too big." }; + + + AND2_X1 and2[N]; + MUX2_X1 mu2[N]; + DLY4_X1 dly[(1<D=92^|oTwx|)@)9s877nmGfqx2QTpZn< zD4v%op+UhWY2jgGW}z+vGYz}bbOAcJIssiQ9L&7`xAK3_!wj)0S(w`Z#T~t1dY_GP za`LdVa&hyrb8_%;32;ydu=B8Tb8>R=a&vI8b3dC_eb(gm&ygr>>K3k!?k;99&px~E z|Ml#%v;PmbKBN5KGNf(nV4kC3leUAoR?@=E(Hs^DMGFTjH){%x=YXj{3%a_wSOD!& zyt2pq6ed{Ooqs<(M2RQ@Y)&5u^qnZFxrAPFvZI0c6RT;mnP@uW5dYj)X+8RlF&=N* zNI5r~LiAVl4h;>N>!U9zqMt6?*`6*zBR~AZ|2*BC)fhv%$UFHT4hGrYnm6}r8oau> z<~u(*K1&skpeg8C40xJJW9zy->oO9t`>_TzHoLCL{=TpN?Y=7*iNV;2=VGi`(}$H% z^Uv)<&7ae|#{;VXL|XrsbX}l;0EAoP4*#o#uBU}n|HsSKr}dqhns-4hue+CjGDP;B z{l4~lJiToAvu_;m7{0LTdz-cJ2h|Z{eL7}s)wuHp!iVqYaH-|9=;B#UC;P>#lC`hQ znY>rqmeEE=sBa#AvL#eqVf_6;svn$6O^tCx$eg-tyZy=~%C@g;)=6Cov-R~*IP%el zv4VLDZj25=BvGi>Cx+pAxCqi;<)u^~2Y)+1xhiY4(FSVyl7_t|JLLK6W9 z%MvOp!bcpw-?nzqEmprTxnBLQhvVm0lpJZO*_Dg0&U!+hm6zC%@Cd0-JvRZx4Vx^* ztWAk=tync|Fm)y6t#T57{LOAa0c9j1M^k~ABY>mhX&8U$T-0ppBZrO2xZx&N?vmcv z>rE0(s(BDOP2BuJcLGy-DNsy-@$~m|FwJs9!RN$F3d1*q*4Dxy(FE!~gu@n07{W9o z(*3(8)p%GaZqL0dK&-C>)L-*6P?BjXzp zg|RBP1cNcdjWRGWR24wod0%JD!_#@NeH3v9ZC_}e4Cv;KM&FkJ%i<{aIJ(4p8Yk>^ zqD)K_${|7vUS&Yg;KF^}_v2f!H}(ty_(Ah5O^pHma4rgOhyojzw{5ou}uvLyW%g-0f zom`k7n}Luw6wNu%!^h6?S=)gCk{V2n30oO26$nag?@Cpv&|@(d8HVM@qtLk+qilG> zDnfiZCM*a28aM=NPhQ%m1)tVJQO98xCzm)^q1Uq3;=S%~dx59%Nec5fF7X0- z;F#ysOUVxxD+w;EE$Q#SzUT&`kYZ|V-R>`aHr4t`xLP;K?sbqJyjRS?$J|9)!EVD! zl)8_>!eB?A8f4aLhrwKV%u|M2W~^hRPXJGYZXJPT#&WeM&NI3~G@K#dBC(<5yE$fN zl4!9c_Nm{fd`}aJMX;~n^sTUz9C@fz8EB5IfRk0V#?k)3jJF4Sw{NQs9)uZrlvY|FTZeVGrq#wkEIu7 z{}u3lO6x(Wqu|^6<7LP|(8f&Y?fQm-MhP#R#9JcKeDBFZl7>kF1NiXNvE=L?q&Jo}?J1Mz=>Zk8e>lyD-V=|{5>4p=0slF@0WKLZFvRP}CQ=rvjd zOexSTGF2yWSI*$3dUdghLU-N@cZ-t>>oGOoLavcYfA1mBn$Miu^OzZUFC^bn2me9>{INcg7T zDz}aV2NNr9ebxj*SwCU~A}BKwPz|%|Wn3313qw{6%DrO9*BfX)`mptR>Nh+JD}Qq% zI{<~~LKs*!C8VkXQrXfCx1|To9I5KCTe6UMuhAnA;YEGShk#n`e9F{VFkl3?8I~B$ z#1x^A`pEOSBHX8Cf_5-Ai39Yz23^ryU;B_Ae=nJs8S@kq2g4JivQPymQ+J0zFfEx? zS{-0PU@suXqn1YZ^Tntc&q(7vr8JpKNsCE&F(W-%(KH>7u~|rB896mZw$G^hD{1Vo zVN`)D>(;;Lq$a=9(9_oAcrx<}db7V6^&`xj$ zM_o{Y{5lyy$tj)oG@0Tb?uudpW4$}mn6=~q7xMv%Z#Ud=qn=>0reL8!G~6frqsxnkHJR7W=6 zcSU9AN1wvqbDPnN2skpBJxyvHbCJVU7J9u3`$+GA$+KvWiEF!A;6x)Lr6;F<3LJm| zmR7q|jlEfXXmJ#^%%s+}RQL6EYtp8Jlh$P=(06_Vh~enIn|7sp1?Qw@7aUAp1`tzV zt7o;)S2KB$1Sm&_jEJ5XCVu?;=GZ%0OfAP67= z`i^vkHiLUyY(+46ff@HgyAg$@Jk%LRXQ?_~c8lDwl`y?unZ+@01CfHtfw$f1ko$s= z{8j)F$+iWD$ZT0^c>lZNQU)eDCee#p`jR<<3XHfl(F`KKamh#)0exd+1>=*^_dwd| zg@bi6`s`ew%bQ-@MyXro$SWfhiHRZC$004jvDyy@(5^tFYMs_2|BvC{wlnjg2!unt z^x8}@wrAwr7$jF|*mGv|W*jcNwoSh8ZQOoVqoVh_iy0fBKUw(bb|WGDGS(+SdW97; zf;if1M;XL;pSn;f)F&b$uh;ktt1|dLs@xN1 zuiXC(IOUDS-j|pHtr_T~7l2VtBA@G`T4hO2O2YG@>*+cbion-OQX~Sp?9fM2Y%)_L z{1eW1K7$H*m1!wd>|9 zkXg#CNrL>TBPffzjpp{6D7fP5Hi;XSLL}xvjYA#ZiNfQ$#JQa9!JtlC7%8 z^XUNQXkiWvZBu_jSb0{JV)!6>mdakQxBbPmjh%T{K49+{NFa|on)XqTgq}gt32vrw zxs(ITOKLxrU2toyAuR*7%E5>P9@Ma!ZW%7|@o#YqW%^efF=55=Bdj=v+qS^+U94+@ z7>(EAjr-;m+^-uaUJiUh9ouz6`fNRf-KSiS#^y=UGi)+xz$Yw9rF^{dfk>UG$VO}> zTIlCe;C7EBli{S%t=b1olBnZNp?Utp>q7zs-ee|jgzPVtw}Ap_`_+@&Gw6mvd2Mu} zaTuod6{8)5Hon2eQnF-Mgr(I!^I|~fZ`|fg+T2L%Q)e%JeR4+O1}P@;?N*r!ma4I! z#A5cq65h{DbS`RGMGve9u&tda8SvAn<1E1eHgxMEa`@7M8_qV;hPS>R`}cH5UTqtI z)pXxSwrjLtXl07Nw}A(~DNs{ywZvscQF6+h1`C5O(aCMLXWTH_?YgGu?XrK>`vwE7 zJet1VTF^JtUC?j|et>r|6Urm4zYq}|e%;wqQQKxr%# z9TyGrlo(uWOG%s&+Xv0-S>3#`n0rgp^YsXh{-g{VSNnzNSTUW5#K_SgK7h3t*9m

c;Uc$>w<-g|1(&3VR6grER zm4Ex&pf5Af*@D7&LlWr4k*3X+p`dGedr#+TZntsFN7uwy;+I`)RU zhnA{7E@%naj^P+DF|3Y~XVKH-rwg5C!Jb7rq`I8dBXf;FnpAS8cjN*C1)V4gCz)PU zOjS0AiSD=NCG+)16X`J9pS`8Q9No!TYeCg`28?cUT%$)sP;W!toSCxJ&;9TEOBgVb zKk{C9S(gm%?md+!h-TsQjZ65tI9+D^2C9gNCiL3;Ji&G#<1&MHd`NXoW+tNPW|qZj zbZ&6NZlv={GC-;IdH=_gc9%ZzRUy1fiFCP`i#N^-YE_nDR!uLTy^y{jvwg2)U2Qjk zA>SP+0~!BYziw(OxPA_)llQqK@hi?HG7bm+3~Fd!s8I~5hobhv3w$9USS6RZou$6z z9g+STPrWG6&vl__oA{GBXC^=Ob8iY5$B@RXKa}uwe*;6`go?__1BBt)BLmUgVdVx5FpSvSK2qmB7JH-5eoNf*w ztTAG;WPy8^`~|rmV$HCzP%O<~u6lXf^nypRpu?uWB4QNGV=^ZRm}PW-YZ5#aw+~(@ z2ESSS*I&04XOLBR6D47FR-LDEcDV2#W)r5yzsHP>6aewiTA(PdXOJ<%wquXHM@a2| zhcKTQ$Zjs#K|_Y~hN8Js1s|Tv|TgoUg!}c45fQ`9LSQ?f%_+Ad=n#J>|an6n7VF?~n-=;?>Sz;zv zRKs^hBd{A~H+m&->0HJ6?DU{^*UdZmrkV zfH&*pih!?=8u;bh3j%V+SwE)4y6`%2=NA}RsIka=a=*;(W)q z9qu-Xw7A^%3yyT*?PLMTE8wmZRJEZ5>^+KXM$t}&LzNSc)AlOLKA1+ZoZ6(B)~|T6&{b0y8f2#l$QBK^-%agzciQe zm;3-XnjGW4{&7f_O*Y`#(m=*|jG8}-i%(g7LRM2r=?s+}LUgz@Ah%B#d$#_e4AA{X zSetcMfVBU*F_*nFeX zKCo%755ztTe+>>%a%@Eg=jVNh7Ru-mF?dD4G#`3DrPJMy6plFi)3V#xvjCW388;@1 zX&C<|dQsg|7r*>=eH5L@7t0akG{I#q%FbtfR{^9V{+(}LUiNW_$)O~H2%MEb>c?{q zv0DKPs1dq!XR0rwZj5mi8VJK~t6kPTVaQ{w5G;3IxqiT1pz7_npglL~3N&?5*5;{V z%sPo}?V{gCmtYR=JvHxUneGVsQYeOfBu)_Cpg$ z{*Bp=xq{6_04Ev=^}k4FZ?F{pQ$<~9l9=EXV|E6E?`(33+M|wy_Pr%xnKNlv`a_M% z=(!W&HV)tDezond2up5jIgEAveI%wC0`&2*^f>=aLMK79sK)S2#Bvo%Da+Kd5wV9o7SE4 zW`KS$${+#czy&6yxscnoex)e)v*442h)ZGT$1xX>2;u9v^Jnjy1*$1uW^|tU>H^0A zRGIL&l#2N#87EgZ*7t$sGj!9sBoaV#KTAX-C!B!3`tesSAw;=?6(&psGwcX3*Vkb> zlmKC_2a+1{Bth(^!TbvFW(H6hu6Pw6vbuGfE%Ix?4a0-1CcKHZmQ&A>lbFyoFQ|JJ z;^$8?hUTPN>08)$itv%NwjgEnaT#j!{gndyaDtL`kTr*crG<+}DZ5iHX~Gz0szU)b zB)i!T#|LdZAX!DtKqqW82gh#8uTS#Z`}L9G&A?Dab9&Bm-S6IHJ}aR2tk~`ov2}68 z2eb|p6BMkG7AsSbO=r-$Qu?ag zjP?9pyo@EGqRE0oB_w+cF^#&qMN6y}3((sSq*9F8w{qar#aK@wIBpGyKyvzVR2iCL z=N6J!TvAP4)5<|r+`2#|bZ5KWv)Iw<`gPhsP5^Mc2t107&$rHZ2AQIWt%=qvVvYJA zGk&&uoftvg>vZNMEZCd?3acT1qqYtusB7y)(eP4!`PIpZPjCr}PDmTRmrToTkAZe1 z8r9zu9`mCl+Z!SoT#$*0SHDMIr#j{ijKx9@-6zwACJ=(T&-f>6+Or>IeqdfYZH;pX zh*a+4IS`|Q70X*GjtRN=gps7B^g4?7p7h*g|GqUj`_ixt>uXJY&3s-zCs()R)KO_E(OM${v{Nf7TQJTw1Jj^ew_79O+j>`K(l9kEh&R@+D_& z^~(|>x2ak&1AGFFj&v9ED0jmSMR!ddL1LFW_o=Tppac$*d#{r6kQ?qsn%+kRkx8&c;-_s|c1sFeFSKiB z>h$#Y&3;<7DX}&L^_sIyo49x0sQAY7vMX2hlz z{pn^izz3~WEP{Hg-esHvafyOnU2ih5}x9q5uZNL&>G9lNjj1ng(w!*|R zo&0iKQAfs^n~KO#A(o6Emjs5%Tjo>Rkex!#77@1VYHG$jA*V?R7&;!SAFTl$O%r7*%*PF>CR%5%1f z$+^5eTMZ-RRf?fbbJzRA189SiGe zefXa8Ls(QJx6c$o2KOa)y42m~=W{h4yRdjlv+rwVa+YQBFD=7}4Npd$-VGOKRLAMt zN^`bd5D}rY0?`IV^NL@IA!A?m%@~b_Pk`AUCNthOgJ*CV85XMmJdypU0HdJJ01ulq$B zKlG~4C9-1DI(^H?Yp*!{#)tlEP9w!p*r~#0f79fxj~z_bWAjF!@}!tZy-ixz6EW4n!`2EWS|?T)2YuYP4Yd_| zmc(&bR;hPtm}4%5!=;4BGEF=)3u>8TKc#hCBqRz=&BvESjcJa_f{Vx1*KdR$Minhm zD4pfe&cU($5|k?*S|DleHnB)`4V_?}(R63^jss^ck+}wl+dP=tsmC+&s1`bQbxFTyX;H}7Q4q9cf|@jcb=yJ5aW9#J=s~Qp&c$bN)X!B1mPzHZ z?EQVE)uYW@AvU6}wvea;{*|B(K1k5=oBLmfgAsC9La*7%2Vrh*Kd~2Z68nIr=vHY8 z3`CuSQ}Wc(Lt|G_=RvNG6*Paj;i)clSfggMilDlnfjTAH*|jKA@<37V=bN+acHXS{omvxkiisaMt{CC z#T5iKNx^IER=m&X7PdO7WVM8G{2s>f;%OMit21F72R4AorIziC<53)8%cmeA(iKhJ zYCb#%N2ZWyfWv&u#8!rrZ~BzXw2cg~K_Z@}Q`49K7o}p7w~gYf_A7J$QtE?uQJabd z);vzcMhbwyZt<~(2udl#^m)iZ%L1)-hgNTUKuL|$#=4q4rP?+f&eBw3oU1I@3Xg1V z3QbhLoN&GHFcT=PW5YEXkm|-oN;-$$nDELJ|%Dfcl8E z(F2Jm-j|x9#3LIRgbtY@-!wP#di~W<$8o*`y z_Nn)Uzp{=F)Ck9irEwD_;C+1nPX`yiiP$c!%CoIBOJ zgTL8nhNKpMt){7IsiP`xS)i)CtJrz&Ae_JQE(+#0d}BaLZ}Qzz?1VL&kH{=LU!xP@ zSYy7L`?{BeWpHoiwjB6t3S;A}z#pKNomt~)&&|R(?mPDS9ZHj)k+dG)$ekL(xW`3< zNp|XR8OtEo0i>`o`>;VwxwVx0jiv0XagX%d?!#^bH0%Yi5@tqNc{Iv*N;P!-&mk?T z&8Gbr@ur5DrTe9157*@`JEO@GraAbJ8I-QmlkLe9YUmwffy=MFO20Cy0n*#CIB$7z z$BGKhFHpWf*uB9?O<3Th%O9(5?&Vv-b|lD6Gs2QO7yl z5FAKO6uBn`S#Q7YvRumYiJplLL}tnItyu6mv&rl-p7?P4&DAEvI;hsq&Zcbg@L3k& zTw9vMjzz-!S$v+#ngVvH8OQk8g7XcDs{R|X(SBXb&~Bi-^T(Ik*kW7rk&T4}6>ZBE z;De?#Aqn`A%rHjJx13R=x}-{w{dW%h$wG1FdK~N^fA5+OOJZGR<>SoRMyBS?sXo3c zZim(7kuJhnGT6kFIgvZe zqQB(JwV@dmalLm~nioPf;E+>p`MNNDA4f9?wBHyfBpX_nlLRompku41V-wt74B-XE zl=Q{tU~9m~2dj!_3RY@~<#FbI%@|7fP$>G{*?ODsVMOe^-)^q?OTmP>_K6$n=z&blO}t&8Rvv+|B@xf@+B;N8uf@T} z1rzamLkGGfTTMCT5s!(ej>PY%>V&FTIe4k4zM|vnnSl96Mi&nqjgz>noXw(|Z24egV%a3ob}a`=l@lj#0I3u2pP_reSVbgDkd$kt4V-c4u}8 z<}uk?@r_v>#1D&Q0G1K4-?IKrT(STEGRA08mw1Q`jayz2T`x4`Ri1p*;8!JcF9YZH zEX%B+M59r~OYtv`t+h4@`}cwKTWb5H79Zd8P)GOezT%_%Fch4ouu1*Z%48evUIt;3 zyH?*SDWaetxAlkKdUROU=-4FrsqC09FkQMgt*uZo$1BpEsFkGlbtIbmkU#3VFZle} zkCJS+XviTh550W1Tl!YE8#!26-AYU+D;dDtsh$SJDMg40a#qiMuT`JD zRtPP&=ifKi-^>PuR1Up%YgAcWG z$$?J&U!dyfGRI!h9j zsJsH6_yPkxLlUm+$XjMS}K>!{5d?H${IsES~~SC`5}OL z+ljd>6o$aPB0+~yRSWGGGsUr@$`*P>1)G#eo6>~%K|u zv1`(4RUF`WEWVPOLW;PASXP*(R6<9vEii9qMfeycvutM2L-Q*a-$gwWpTF*L#*reJ z*7P2B1Sg)$w-6{J9)cag|F5?n-dAsH@nQvPM@QcBrS%7qwd6y&TSUyVJ^H(>BkLxk zMF~;UaVxe0=lNxrtnFO-$DKE|L-u{EL*HSX6V(s)7>|Vr(%2D*j^kvFlMcqm($DHy zKoa!72r-Y&1U1LI2!$0Wa5`bp5!HwuCzqOQPZs zPp&eVcVlS$Ssbv#mA;B-?W;}!%3uI3X3Oj@AgG6%jaW4t+3Pn~&5MmWgl#As(fR;2 z>qhKGZE$yaiNVDXAfzJXHQ?R2lJ4{%1L{bWUi4vQyE_`dc_%qD_-nT3VP_EU+)dvCiZZgsU!Qt+-q-)0%punq=MV-2;H zYy0$y2I{7JN6|)RXDP0+K5py%`~J*?T)SXOj+KH z%dQXA!dT)Z5%{R^_|xfwgQhgt=^f{8Ne$JigL&ckd63$$s&FAc?1WsIR*cQ;uKgfi z)yVD&`f8k;LdbkQd07WTb@YEVFgz`M|9b^;>zPsZ?!FRww?>yyb3CFpJamqR*bHAw z5Owa-ejJbbK&((^Ps+-UsmtQjYKNBR!Pu99EzahhH~unjH@ge}_n$G+-#c{;lh!P% zL6Z$jBSw?1@&;>+e2TR5rU2JblFfM=D2o$Y>55lbn%9{NGLHr~DtKD1<5r%vg8RlW zX9A3p6AhLl@oF*a^8E=qabYfn$Bb#FIQDq`i>bpETd?5UsoHNOuWgCe1sc0D^E-t4 z<_UUoY$Ip8V7*_;w6H^I4a79_qsa7`kt=jgPz|#T&Cx*XIz-$XN zJhH_`ZOg6{x$a4Xt&z-L1Xs69dYa?T@Ovnw??5OJ&cs>*lo8%-T)Q%aWBc9o>z;MK z%WNM$P`>m1JTX}iUM$i?+`_*3qUiugRhMWeXU9Rb#CPJow)R*Y9WpXrD{%1=*G>-V zRbll?5#;GE$eD~qEWuFJ4=wR>3z`eD;M0{AZi9RsRc}L|6cyfX>=n7Lmkj`y_NVKYTpFO~RsJn-#ELl%<(;^#mO zvw2N@gY{Glcgw9U9=xvd`zniJ`ECOTMg>&-(?pduM`K;AloVNUy>Fh3s&teC&O! zW^-j@ADxMi^h3?sjGYnF;>wt6jnKKDAlBESDtDT<+O6m!_+EKTx^tYA*ZLKb#R=%3I@Z~3 zqAj5oT=90F$R)r;!|10*Wo0v?@8IEJbH>$syb9HkmR$LWpVm^4RQ5`5xi=q1&Me4XgYq zWxddV#vX(9x>m|n#uFt|x#^Z*Edk>01f&OxPmd_g=9GMI<-(Sq=^w;2AK+tgH;z^` zJek*Hf)VZR4xwjrc26TaWldY{z0zC5&Y4+Qne)umhb-C~A?&QBId{PcspKH+#2GY? z9-u~5sda3}3Vrv+UwWy7YZ}4DNcDk5=SDJ|Z&_A+5oRJU$erw`NipWi%f0LNpmFC~ z8N;7HPW@xao9Eud5oZ?F`+&Z84y_U+ik02oOu7+04wx;6N`2!+?8+T~I&9pM2gW@>q^uuw>Mj8(8W zyQ7jg11upu7c`<%fA{c?z!$9YUrd-g&7DY&Hb;Z=t*_r_oNn)mL=Asx?@9Xn6~9GZ z9d73#)&+Y8rP-}a#LOb5|9K57md;gn!-lbM4{wV#2u9TyPOI}e`sO;>A)#~Xq!LSi zlf;ps-_Bx`qQVnR38@jYhf#@k6!`NWd9XJt@o5jqQBZfCUQdPeE9gMkYfNf36Nw@Y zY8;D8HK-CP8<;LL3A{l_sqmT02fFd{4x^Z-g@G4>Z^%qDPc6iQM#|K=w(?qKCEY=br2XKg(Awp5><{w0yQCh z4;6t{4$2b=k|&zoxkGUMz+k9;pSK4$C+`nfet{8n_L0#uP5~>?lc<0Ak@MZlaW`H< zRR|B6^Sg&XN6}!tb)STT0=yggH$f|IWb$rrS%FH35A{EDtN4hzDo<2JnT0?V_M`k4 zouy3U&TfhK{oa@=2J8E&jF4RkM)f?W&<}#qu=Oj6y z+iGUqsjih=psBhxfSPDB<<{-dI1I7Brp{0gS)KDfK0`^w=3agSqqPoG+AeLzHDdKO z=`4}O2{Rkwhqcu4+fOI^{%MsK=HzWFUsQ=lP85z4r9=wCLOx^HK0qbe%*GT99EHnf zfg&8K&psMp^IMHCfM&I=cHnFjn({F$xjqm>CBr+|RFlH`2{?PkcP~)#%Cfu8tE*+Y zEZ7*tlJe{|z#exC)a}al=_A{ zRuj&(X#~p*i`%Z~4rjHo@-f%JvosES%quW@p*o60PnjD`ecCV5zP5K_m);nRTCPZ{ zd{{@}_5KB5S}gtvxqzh*6nHT|V}BffomKA-^`2&07F7sx|b=lqCPZmbK(rgD2%J53mUTG?Yx!CE15gX;|zP`%z6RPby4 zj+<(wX60(zl;onJnXf@&L_@$c%iLM-N2G_mE2K^cdi_|oO$f{SAtg$k9<H}=oM@giuBDs@zE%^my#wcx_&xo5lcUrHj8CbKG;H{wfg{1zV2 zBQE=v^)yn|L{pZts$`9quvXs8CPpwl2Bw=t9axv_XTX>Kz#^+<^rIVkRS%?W@`8E8 zWG|4?TQON~ z>BI+N?mb3fQUFHROuj~YF5NfCCfDu{hjdwvfyPo(caS}&Nu)=~hY+PlR-(;Uwh5>a z>7~ZJyTWWlFQYLzv^!x>=5#&qGSou7SI%<;Q4hU8zZwpDgQ}=|mwbP$Pu8*8mu1`| zxOm`wSHT57=$bJ>mXiK*)mP=vqV%#u`lYt8o=zmhHTwZb;oXCobj@T?>YG7ia2T++=CApUznxYh3_JJ zLIs+rT;e=8m^O69I#f1aoF;U_nQSPmZJkHZ88PdSZ2|z<7)Fa^BStIe|KuoCI=*CA zi@a@iSIU3C=gsi`OW93D582Q7Tz8?D8|x!s8MAxsj@WP`^aCPqZQ?2iH*Y=aw8kRO zUTO6U**^Hr!opX$$5F57V8!qEn?1pl+E!&*)Y(p?e%FS1_WlZd=M66~iUv*2+`-;y z>mgB#ho)W=>QeB?%WG^!iNrQ+7D1W>bfd$V@q5`dJ8jv^f!wuM zzE$UH#xTu{G178G*O3}OIubk-5-b?)mb|9kV+d7-3q{7(5GlqHpvTE*F8glk@cm_H z8V(lQ4tgR3&#l=+gU;kcy_Gb1n{mg46tpqRIdAG_qXHFmf~2Kb@cuGEGs*gV1|vP5bXr^1#C|3q zM@U*Ct(}*^(U@29-+m&}j7!6*7-ITV;+Z~68-9nt>aVtC3RuLbwVh-z=Z5-ptZz&7 zlmIFFqiG*5!j>!UpyRwg=tCuG_~@&@REv(g4h{f0^4m=!%-37^yNa6+P0g3@B77yIs-oU&tWX^3a*n<^g%rjb~%Ps89aN_$1Xo6>EQQ*JG zTZTOr4RDc-)r!X9)8k3c<|HDBtMC_Ps3Nvh-(l-gLQ$7ePRycRiwRTg(*Az4ciw7k zvIn7;Qr1SNZ8~fGe__&9R zv0B-->=U>e^Zu7sigvehb$D#7?h@H|sN259AFSh(S_Y(e!H8*@b{c9&&7DUvkIuya zD8Ax`!dr#O!Y}e3`K~}?(UtZ=3p~{`BVb0bMBEI6X^6`6&d);7&23ijpDW4r z=HTl9qNNM8j|lZaV|~IV7tm?@4Jg^>kZnYj?TBdAW8~#{Zz4lLoj&UT*sPzr_7Te?8HtY0-*#yH=m(?MLOab^I7^TtI&sI`0q~ z3)@z&4pgyZTF0DVE0U^j+sG%$`>#4(mk-BnJ(lTpzZ_HQN;(|U-la$bLZ=$jKyo&`|Y zgZ-@xIS{`jS)|lfeOx$1D+$MHjxHbwzx?$WIy@72Gv%Y%`--_+>Ux{nWP;Z^`m{Jij_P z*;p{YHQ!p-|8plV!~3jRVeiQ^PtB^PHhrU6}eVojeXUjYA!)-n4 z!yTs4d-F)jloNc(>THOoSFNDp_GK9>Z!f#+h8OwQK}~$-P;{8% zJe~#PcD?$*-%PH6h>`Tj8%dc^5;zUoJNtrx`jVX|2kg zwtqv-%do3p>)v|7mHi+ENU1l@|IX~g{K0a)ob5Dncu(kr31=enFmbriW_x_u@W-qh z4^rq<_qPqB;EhT^dw-Et$(vvVhz`tmebA&LzdZh8VdGe#>Cqh+bYjcB;c=uG*v6tg zuU;AF8nXBwS9fcp3O6M6fPCW_{3ci%HUdUgl z1M>L0_>JFvDoVqHsZ=oFYJOYl9x_BZ6xxy?q`lDwq;-EfTP}L-^)7N#LkWR{ABs9h zy%4xZMSX)fZ&zYA($-_1FxmY>Mp?eTipWwOP5g+?nw-DO^u$Z+O&_d4E21%Il{eMf zvKNTAx_@W?UE60RzEjhw1klBC`O;T%il_US%4(m@Td(Ww0LU%f0tG)$-&a>6c+qUG6VklDJ#N!Xp(uzu;V$XgZ31ycqrZpJxKs ztZvbqAx)ta_-*|im6lCN)X=1>I)#E%tq>jruN}NjEI#5_@OiJ)G``<_zuw{{Okc(e z_jZa3SY~VOYa7eIt?wa%>+q`NKuKIc=PIA^T%`>*`o3AjS;d2~`7}h~t(>%lY5;MK zK?`9cu6om5DJ&6-*2G54T>joqZ_8u{n?d?hUI8!WIC1BZr(Ca$Db#n-WbDOCEx}ha z*yVreE5aAKhI?TiE?&LP<5<&x9XikaFa*6tX_kIbv$bEq>Cb9yf<<)!?uYbkp^fi^Vw@dNh*u=Lvxc z%m#j2n~?+`5cS4EXDjE6`?fi^a6gK#+(f(Z#^|uMS47G*sT+Nb==W^22L@?Ix9^2L zJg%HXxu%FHBXuuEg@r5D7_?2l3Z4VEH@ovp5$-y=uNJ>4jSay>agQ$#I@|d%<88g7 zQkHh%uYO-lSH*;t>J}*AsH>d6D1W|GBD3{8uhK$SsS|H!=d2fMcvjxu6B1K*t2b8> z7OuM{5JlA5<6$brBit{~kQMzSY*ADd_ITnx9(E44yb4F+!vOr9<3Fi%>Z*!A+a6`G-X(DazfM!R89ZmI0YUqq=E zP=k#lbd<_IGW`?nDP<62bx@5V*){XUY4i5$GBD!cgbebpUF|Z@rK8co`^O}$e5cfz zuoe~VDQ)?pfvsnYWh097KtNZOo^HNevG#0LoHG*F2aO8pj)2_YU`FPMtp6tr*Jt9P z4WmkFS58PNe*#sWbB$>s|FeCFH@)jI4<8H{hq4!|=+8LOzgRvao`uY^bNn6F<)l2+ z;j>l-JmAo&|D-;g6UL!K@sGjI#l5nh*rA7w6VNeVZJr+*(!-SK29Aa=wyVNaYaUbV zHh31m766Qt*0DSh?@@84w2&~&{(*}4Za{AIgOkG*>oa&oj0?d}_|GaW6@4;0qJu;S zkdkD7PppIn=dFKk5A^x?pPIHhi%MfmC+B){no>i5?_c%;&fB2ALHFM|w6%T^FfvJLfmm8e zY)qUcE(3^M>u<3A;^Ot2H)jr={!XWP4V#eV1-%{79{n7{ z*Re3nLCsUsho7J2p_B`~hhf$at&iduWHLvNS!I8hCo03@xcv9>&AYNwKdQOzybT)A zPTBDozS@mzhvp4Qapx^1N`O-hv2R@Bitn3H7}P7MKvclF)U$#&$}$cOY@CoC{voJ< zi~qmDT6F^q$Igh}yp7_XgKq8|{#(hs<-$FPYth+uH2t$z3f}+tt2QnTp_Hn15uXt1 znvHWqQup5=Adjkq&scIT{!~3@+2`V)uKw$9pRfMOn=YIF{5Obrg&ec^{3t9c=V7N_ z{{V>C52gJbJcvaMj{CAqrs~d3xC(*^ zpT+hSy5ofm74Y%?rxjULCjP%=b?@yuTuA{QNa)Z-Wh(y;3Lzmd^*3F3^gT0Vea)Tw z9VzT5uRAvpPysKC17Chy2xjg~@Pyj=Vf5d4W@D^~an!kVPdHDnxh9L=Qxd^AbOO8N ze7=lJdzDw%w}?Ll3j*~GN&i@91nirQ;_jWF?pIRJ;jUFNP;Ov@g?rpc@P=e@;_p07 z_s;ukI%CO=>(g~zx{QUk0Wu@qTj3h4%8nN=$d!?Drsd0Ox*q!v{^W_Y2e3{AEHba< zja?egUf(pdK1J(y2e2*#9N!J=H~BUPJiZAy;rSTwG_o-9gz8w~{do5OwD%?8RCZm% z2bq2CMdn#%3K=RHBSR9=K!%hdvyib-A~Gi#Q>Hloz7Kkk z=Y9LW>;JFs`rohP+V1<__a4?>d+oi}+WTI62^(?UvpaU+=E*0ORFkge_}t}wi}(1k z{YJ(9Kd$B(txkSR^SAb=L%F*4J2>~qR)vQr)MUK(|9THwvQjIxnu1-9NPAXf(Hl46 z8>)2ApE=j>^C==xY0W5qq}1h6sRu0N5d|NGz7*1lST^LX&dgVm`H!ypEr{GJeH+VO4{6ys!4-RsF=lxmQnqa9)*^Q)HWVRw;ik zbK-7U<lfDdR-Kr5+;_HHo4}mHwWh(rzij_V zwfpCuKt1ijYtI+HFHg;;aIubD!kp+c`f)l-d*spSrkPY(qX~yj+Am)ph>I~a`?W|` zt}HC`f48_0>pisZaP_Lt3hB#m@#ch^=Z}0tVSkj>go{UAN8ZaUi|O-An9%QuH?ZNU z8;@SGtBBSc8teG-z;B<_>S_eR6qTBTS9~RJ0{@8Che);zeYNG8!TN{~C*4nlK2x#% zaHDu-#((PE*E_HJJbF8-jTp}`v-TBDj^^?ArQY-sP_lf}+_yab*i0rjx6-<=-N>lo z!`IoV>8zl|WyeMLzVrLM{IsjnDl5FiA|oDnp7Au+Mfe}c>$`H5g@G{Zif&C>rKEpZ zk8-t9p*`Ul-pTf}?fx}UzF58Fya#)SmtQ@nTlhh36H;XVXi|gw@W-#mc|2dr?NQ3< zPK$4ra>&dYt_tFnFktiMBPNa+lRE!SW&f3galDWVKiGxJA8S@r{-CDB930?N{5byL zK|@8}?mI_*5QfnezgAY3x#+5V$S_KJR3K3?cpl}ePTP9n>O>Gx-JWm6Wonl7oYWN0 z38^VObJ3l?rm56Q2%bufUE^;;h6@%}x7d%CRr|__c=b9_7sM&8FGhZ`f@{tL4 z(=qFh>n!@hU|vwx;Sm;Wa=yu(VK90!N=rv#K7uJme>k3zYqEGk^hm6Y!We^nn>_DmeyES-68}E6H0mXwo!x_VlL@Sr(vxJ22*a;< z+8mrWee-EV8h5=a-nePm^*zBHLn+IKM=Z&%Tj`-nXWuWKk?IUh#D6xc>@ZWnrC*z- zQY#j0$j89g>vggWYe>&d7B=1%eB}IvGeI?2eX6#jhE3@OZ*5l%$<>b*MPN>ek&lM7 zTNr0iJAQ0pPyLVeoAUf+kzJnJU$xtP;+j2&ZUCNeDt!W zex^E|gm@(l|7+LLHz!MY=$_h=l-{apw7WvCkaphgQ=G{pYcsYiIEy$;g+Ul&W>xnN3}v2_d~C+ke)_=bLhJhdM&`x$NLsAK(6Se|e^U zBzH=mMRB~XpC6W>>?M5MvDmTDWVBcrn26vh996DV9GVN?b+u%%sAK8K3;vRiV}jGp zx!(_tI9l8{L(te>tLRvUUwhBSC{8}|Ty`c#zLNQM<6%R-R2#o^$2V6SFX*-L>PRUL zd77vgd&$kumv*dVV)pjw9YN-GsE`j+(#)J=o6nz>l=jbB;l7_}BjcLwZ*|e-8zqgS ze{PUiYGPS=2XBbKjEGV(=<)nDcOJO=Qq& zLy>4F_K^&uVbiT+pZp_Nb5@Cioh=rZlhUzf`k(xlYFCu$=s(wlR&-#eLsKe~`X1@- z<*-P{Dh<3ewC$nZKajK9FqMb@f=aqq){%UrkJYtTm!J7@sv~z(&Z+}j$UWm2haSO+ zSF+d(^5tYwat9W7A6qS1_b$=uP|W#Hk*{db==Hl$7Ve8zIt7}pn9z5AsssC}wPZE4I%-yzuj>3K|D#x! zm3DHIp8C)$iLDWnNoE>oQ5u)l{x1k&PN_z~go-#jzNnb^x6D!+) zPWq;>N%HOB)u}zG@21pb*FUoC1%S1*_+T)JMDhUo1Ii?FZVHEFv7`k8k!iob(qv4P5c5KGnzg035>wAQH zFKM*zspVS>{+yS<(NX@ew>kcAp+m*i&#_uRUJQ4>6?71m07d|X+BkGf06O;TcaFz` zPT<;nHkL%RSadCdLe4uSh_NwpA!g{SIY-?5>Vzb+>12RX80;v&TVg?$INX|@6#JsT z-&Aba<6UZNOVuNJe%fZv_H^#L9)-n%#LJ}xqV;!c5h(_9*~t+hYB5QpTq;bD$x~|| zg^kAh)=>%zW)r(zR;k(j;f>WPvQ|eotk~1zT^?w0#v3}HUo&21)S@3*Jg9LibWC}` zNBrnPLvO?}2AU!57t&V>4Ij*&k^R2sF>^tU%sHjeLJskFZeALE_KdAml;$Nm3+h^5 zCOS|RUzABDXo~ywCw;||^2eBOh-~ZFU73bM<0<`-nHG zlj%pZTtZx!;Ges~Lr#pC>fQ6F5Od(+@8W5UZ6QYRd8mfh~AP6$@%2G#?_gJJ5ncI*053mNOkoVv@DErVk%Q_*Zn-8A*SC~Pf z5L;kDYbn_Sk}Mym{~8Dz;Hzwq(U`#I?R!aTY;2{H+)B;DLhTt=-7Yiq%;0Tg(!!0V z(=%n_^!rI42XScaIuqjEMat)}H(^Dj#b^4=UziwX4J4egYi?S;(Ktc+Q`Hyd*Csct zIhH~$wqH?1vz$^lQt42+M|D)A%kK`ka?yj&?GuH3MH4m}B3%*mR5W@otbl= z23ANAt#oLJmMyvKomOw{3@cStiY*iEylI6EhQoc+v5Bgy@)nPLW}OH2z4+B10)6SWsVOFRiB86J?m zZgM0UJ^tjzox%Fb)5EB@)n&UYOye1M6(eH4#nmS^yI1Qob2zCNu$Xnq+nSvoAESFq zt$LK;V9Er7t&x?U)3+ca5+kZU6gFylx1O(Z%EY%iOW;-ws~(|7hq-3F#1#9@eT;K` zZ!Y&K2}Yd3M_w~FNjWmoc1nHWjm_}}t%ySc9JiTIUC9{U#IDIZ z6RJLx`s|5X!<;FjNJ);IuZHTrlZE?U4Bg7qT~1!RT;>S)bVhCYPSrzz?@TFyoT-kb5abJ?){M`(y;rBD#!E->pQHp z4=VPXu3V6^Rk>l<;tuo~IzrI2(akQX*IGO(8ogepG^I20V*}WZ#uEw^sWM8Cz?e3Lb+-|n- zwMXjm(hod7SUS@V!vQ*6^mjTG2n<^~RPCw^O)Y|iV9GBzH6S1FYpSc4@~S^?l+YyM zL}b9V5Cn<1DaAN~t=wz5U-!0(qtKN_HYNpel5^xej5>tJ&B9X_-hD{_k~uI&rxr0z z?eePVwyUrfm)+Bp#)T^1wB7@{#Ya+t_8WY@$oJyNtOCBvI~_#(=VvM^SMN-8^&YU4 zM0M`a8t6RK-)RltjayrzRc0p-26rEw;YZlG&G}UF6Q{pB$Tg8f)xgvFIq$GBe1E1w z(NAnZSuu1?SI&RGl)x7hk3`eZYpIcvCLOv8`nxx-YciVluUPFWXK>{|#QmJO@2Ulb zOWXX%45|cUc0uF&4od8dZ^}{THDT#jYGrQd?DbHiO%_e~mvQG9i&jJsckEkI*IRGvN?ShO8~yGsEXP0lF}u{$ zmttJyVa=yZZyujq*#j+es$w2Who4So(NbGd5}rs*xfUlXVe0!>8k=Upy|CQW{&j_% z{-`0>4)}u(g#8`-VYaeewaYRzRd7HI<%cvh1hd!r* zn0$hyx6$Oh9-C05i!daAKY8oGfo#?DlLC^@`Bx4@gn*_`I7aJ z{tgiSwy=s4+0w%bCsds45hUHCbcS%$$l1ZKE=qkWk2O!_{lgiK*%Yi`Hg$H(soMI1wO zA0CCvUGzHnsbx~D+q`z%{ot6WHbbZ*4_1z_AlB_UXY4LJnZ9?2KRGanQ}+-RjyM;V zsM>$4oHQU$?G!c0w!4^}O$8;5>Rjw=`U-=E1BXhnQw@$6eQQ z;Q%J$y%^)#=m&c`tsG#rl9Y3|j@5ssznRSgUv2BMtnL+PQhdlULZmL?BwByXQAy+( zzI6D50lLzeeeVYN8FMcsO_REbTBw!Rq`n_$kc}akI^l#reTc>W^ge}0wi=_PMN(YR zDAU{f>t2vvdmY_IO_xCQt%G^_Nf=Y!<+_p7iVspXn6&X_MusOBKJL&pzbnoP2yLB8 z6rxJpb_r0(x#$Mccm{}mW|BHyxN?Wwy1Z9<$@8M;B?;16=hOAUFLq1y7PknNUqSX< z{$}xPAv<4My@Pn~AzHl*rEe4AD4ntXH>SB6w+#7357D$l58jhL>?2yxAZO5>=qH-z z|K;EmEtZRG)ZPS-@9w1Z;)w$@udjA#eNIZh%EfK7|J?gZlCndw#lD8>7RjaF#6>k{ zx%HNP39?5;19oWZZ_CPCsSt&H42kZ7cr+ml>|`UBL}Z*HhSC)|VI{JBjEWR>&%tMH z0Yz-dL6;0<1!ZD`^rp6Cy}2|4LTg~V@NzPN!J-WA$VO?$UPx@ z<)ZT8EE=9sy7P#Y#@SZ$;;~1p_fjt7haaMA8RDAL4}6otIneS*{?WI5q;t>Mz6%4p zM{|>P3Z7^^6TK@+FOoI-eCh7wk0(6OD-MSu32onE_6Ru+7n?-VX0)F42wj$sx)YO= zG2k9$yKo`qS;g}=^iR}&|Q%#9x z&aZssMhzb}e~`&)|8Zb^o>DX1^3o1?{9SQWKxnHHs1T(>Qwyh%n@^TKK7E|XC)Kr7 zd8+ckLAAnwJNeQ?9vr)J9ud;MAmq1GDJ7RQAZ>f*`ANtgO*6F1E#TzsL95skz9se6 zajr8~LN46o4`}yU?(vGvV7f^qO5ZziYsfikvTgCW<2R#k$MYwXTkERKhxc5x{Kh%y zb7P;@=?~`qFU{=V+W6Cdzx%N0e&+DS?zYpYo4Y1+SSMBpb6i9(?$FZT79D~1w|SWX ztySh!kDwSw6EaSxEW`<3b;*0)UAbFYjNXKKm-O9obLA4l&(XRCHABZkKhvo#N*ZVV z*z+xF@YDXTgQePU3%Oe!FmviO>iUQYl@Jp;I~8`^VNIfY+!HiyamoDnx%|9l z3(ncHZ=`!K(vMuypbHBg4cudSXoiEp)cbpvsh%GHU23McN}AVqPI@MLi)jjQ9jrq}XN9bmKA5~pCY#TtGt}$_# z3Y`D0Fh7}iLXurKd&xCM$b7u3Z-I3(mvO=;n zu}P{;v7w^RqwjNS?2}Y64J{8Hwl^1I<5J*{dB`^3K{n$XHbOW5;Z1y@oM3_##*ZAu zb(dw|D;1$6M=sjZn?1FtrQLR}1SdoH=NrH~ZX0(N!<`!__fk|R(ncJjP*x+tK5(8t z(K98%>Tv5)tm|~{;_zH^J8!wV#1;9{r;Fh>PFbR4@{Y&O&4#<0)E6|$-F~wREkR)O z2$tLHwJ2F>&rB3sE+A+l_D6@oN zAG>AR(YQi?7PxYcqeiLs6HywZcCSfD=&n=>o)GOBqQnei^pj&JE~k9ScxZ3r7C7Ri zeec^mF_(tByOTs~Gt*9R{jO{VPRrjie$+Ho?Bx$qbi0HbepEVg5U)+{jqd1bcYdwT z1Xsvje?`i%0^R-N+NN${UuHAa5}qT^(`OHBbYrzB@a?f3jWR`#BU4OAXx`S>91$ND zJj}w;$#dYUmv9sSal!Q9p6g4 zBbQHW@6egQD=rFRw%`mUR0Q}mLJ&b5eJn&e{#ux9_(4WRSY69-5a~$3DI#g+TO!1{ z?4jJdVb4D8X5XRW-xY>XsI7`J?+MJ6BLWo7D`bAgu<_ZM)cvZjm$9x&x`c#xZJWi1 zoNMLT{CJ|ewQL4Dk6GTVna;b{5A$( zO@+kI_`BwrPJYQDZI*o&fhwxyx>C#4m~T9O=&rY?_U+o7Bku+yu#)vIj6n<)hpnpk z7f0_M_N=(A@Ls~QyU2ht-uiePUHpX!>$c`0m2n=uhQUQR&_l&!&*=r+LU@2<6R#lc zEaYuW&R)3vtp5ARyQ`VIPJeo4aa#m`F_Ei=Ksl+!_7;4#aqQq7Bvn@Km@>y>Eqag7 zO@@Uf8gNq#84|%0!`S?%0 zRPlSw)}2{9q<3JREnimh>O@k@iTZhlLb`U|yyNZEk9o;5T~Nz~IM zL6RVkN}ZFzlQ;%Ol-FEW#7YVH#?1qMP>2jw#sQ9QBL4M&gQg{qq!A$$2SfI1NK^kMkZ5poPe)g_CR zBpwPoE4DJPE5TJA$%UCF&pJ~(zpfH17UH8I}5CIg_^Q&l}^Bk6HSQ)h^t(jnjE>!Cjn) zviOe0JrchhJCn~mGZZztXPz|dmWon&;PF(q!e3S!k+4Hsep_$rYUpPrAYoXT4}~P?tA`uW~}kE{tV%zKJZ1Hd>GEeI~^< z%|-EGoyxP#kusJ1K zR-1JBhjY!B1x9=J5x<^ZGja z@D~%TN{*42HLnG@2fkONz!Wl+W%DncioH(iIuUVb@>Ug%QcJSwY0)K0NmriiN}<8# zyerB}irhA;?>#b1Op z6l1t2j>$Z(m)zV`PtHxeQr=pnpu>DmoQlS=vRiH?`OA=S@5_?H`niQOE(PTXdi|M^ zM=Vilj~0aa2Nom-#}|JPWM>*M?0~@EmG%U-ZNjNVsqK+HNOfU8`4%H0{VT!MuU3X& zJ;{A~aUf_;<)GZ1T7rGY2aX*NY5U~)ut{J~IXRO3%;m3Xk>f|Mkbb7Ot1c}Pn{4F~ z;NYP>Y-|(TbK^MOLjQGgnV>JTwTye^Z`)jVK&QFI`1zH+I{iA+ZT{6^vgF1qniMRK zgzsKS?CGItn@VD@)Zt{hArece!+5Qri!?Yp(=hEI9JGA+C zB}w#F(FBDCB9UgtBb^R3Qb-qp-c_)>Rh9k(Majo6h9tB{(rO8{NlgN8yHIhtfSo;^ z1j>Co)cv~xnaEapMMsuV1L<1MTcetUDB|jTQ>OBFhYJ%O0k=KogD!~5%sye^Oy;xi z((_`u8k5Qn%b!z~o9HuEcoXp#9j}qSS%)cCh1Aj!c)Z)}8Iidtn%)ETjQhNIfbVzZ zHi2z(oy1j{Jp=+^3G{rh6cwkttbT8yE)b%PRFXcN=^%*|V33t_$Ue8{M$qnth)k92 zx6_>AVaj_N6OH#|-hR37>@_b_k-a_pi&)wQ^2e5_%c4*Ec8nY=WwQ!8{zcomC*Fy+ zjsQ*gne($@MmbeUT8`d3!RQY~1<^JlS$$7ObH=f+bbF1A`uHMuXwvUWJxJj1Ym20z z*}NM_8f9n67_#Z`1I<%UK6S&|*ma1RP-A8F8)He%=QOo(j;TnBs!|s7RpuWef8fUU z<#`f3m3t~Zu^|7&y8&ZIX7)1y48q2rtS>X8UHgNw_~K*(>)ECgecZf1RcJ7Io?~)$ z-$gCgWK4RlltE3uNIWe{9d7{ZS3Ai&lpg){c`C~yOY+3DSfPDC$2s>yFP!O-uL}hm z_L9&l>=!?;kmWc~_}1f%AkAw_a`kw;Ty?3)Juu@#XHErt$(6^byu6Z`=1|@+Pbm%4 zF8UQU0-Hy_tci;S&c_;XV0O;rq?B{-88_%;JB$PC~>mgfh)&dh+$E(GUyv~ad|Fo%Pgm*306*#XY)U=Mtaxq5&* zS1V@=aBm5mmx2G*?%>|V+7tY%|Th90yzI!IKLH~-x@A}0MOXN z`RzdI03ZM)@OlOc04)U-x`79f(E~1k1ht-rpC(&(Rrj;afIj$-Sv$M9LU;lA;D<0K zyXL@+R{*$egYyc4=^-!Rx@#R2JHsU z-}n#+NEQQsKkq-F}Gj+xJ(t|we0mQ61)?4dEJ!^AU zE6{CgF7p838(+a}=9w}sW{^8M3=%Y3&cso14fCK~5WvtIUPzsWyv3b$0o@B4|9kD- z4l3}z2b}#`o5|RpwY7jFfa5z8PaJ>%K0!b=+O8IMCn0?P-GWUhIOvL_G^i#)=m7zt2m%{w z8gvB#*B?-IYk>^C-e*6HTDt)Nt`z}32Y|AnA*cZ4Pkf}Vet0s)0Ukm25~7l0AMz&8R!2x~L|(ZSY$!!@7_h$c1# z96dlZu@P{zvQ85aJ!}pTT)1aY21FYh0cyDr0uA~QzzWg84gn(q`UIj2FxPGl8#J+T zg^&XJoeXz{?l%QoU$4D~()=G>o*1II`JIyc9yF%vNT z<08%AxNopP7IQf0Zrp@z0o-%0yZgVu)`GuD8<- z4))S;Kx|^XfaT+|dBDNP1-QHO5bwv`;rKsTV}u_5p20UJaL94$F)#|&8uR!2EsRQF z&A+M)SXA0z%0E5C@|%G!h6?7FL2e}b$xHrrB)gGp69`b1>l^-m*Sm>!{*Hv&wDDn>t}1cmd#3f#Kd zxvYT>B?H}t%iv}QWH2NKj6~q$7PyKv!wC>LF%^g*J9u(k=aT{mFbFr?x`J_s>x7@e z0?sk+hG?_l{FZ->9pfqkt@`N!_Dhd|y-g>5$cy800nOb`s>y+O1*=nJxMb1Q`JP0( zkhdP1?NkI0fT+y zo^EDIYm!2`t{^2~8^2W=LW+%hJF>kSx9{l?8@ENA#v8X*+Ep939a#Z*>-RU+@Cep# zrElO9t=|R+{eM?*ac#xaD;8tY1iKrlFc|PPG$tv52Q%jF>~`|PhgJRcBdG4|tW4Vh z7lQwf8~OjNTh_1#OPwmPdqoR_#k*`JKR<@bi$ML-&#l`1znjYbp#%0TS7qr$!*ZhW~pLr zl7p=d4u12+vDDnhgAm~jCu_{(2+!#cYhB0NW>Km*VGK`qoz|{9ZKXBX$Fe{2$WHR- znmi)eWYL`kq+rD0+R)T*{^p(x7%L3cK1B3K@?9ggEwXy3Z{Ho^>C1-2cDNC`#zKFx z2H4z_{}5^azck_hTg9ilc@9>Q@VLRu3tvj|Oy6e3YeSZUO;`Sz-u`){21q$|6>pY4 z-a4<%bTC!tKU`rau7Fcl10HVE7~*jn0}QsX^hZ}%#~N(&YQ=&(G<8*|Vc_`_1yN$yvc3VRs7K2qD(b^DEx>ev29 zTi6(>o3({AS;Vl1QI8u2!}5H~E2L)7uzceBU{cL%y4L;S zbzQ4%P1l;%EBT`{tkc})&5~}DzWK4;uqqGNt%7g7%bMW3+rDZ&*A@ZhKV`e+zpH?K zhqkX4vU>Ml<*4{Rv$R^*2^J~?)}&rz%;+mZ(sQQ_WI%uzuEnUp_d5uNDU_29R z5^zAD7;clMV&9)FnhlEE{HcO>;{NI5fJcmx@=R>oZFsb?+b}~;lh#CxNo)Eu-)*39 z^L&TftueM<`y2w7K&lg`x>gzeQS^5dg!x|)=zr)Q6p#pX7}}ZWs@ew&tm^< zLHzR;glECp3LS*!fV6R(7HfR)j~2E;cbh)}0a{qVs5i7+0)y>d-<#gpu~}aLSiR_P zzM#sDJ^g3NX=j@2!!AAs3jnxZRi_6|>z}sxBL(jy1^-WdS^BYWqNkelf;63i7D*t_ zhfH>Z0$6^$YgE#SU^Gee%ySGOg~M_`^3Mv*zuhALpXxyvY_lf1=_{^35brdx|Jx6{ zi$@2)GC#$G1&Hb2v4+0hvN<)<_X&J92x|~AU$`@|EIEVrui7Yjv#j#JedV&4Ae(s1 z{#ScYoTUI{!yte}qLCZ6G?1mkhGB!8gB!4B045kJ*5;7KCoq|XYzu&?;+o-}rpZ}g z3HrBH1RAm``I*_-!o=0u!Cv0P)dGH0UKEKyA`vJAFu+6#poO^+NDc&ogOiM34oU|s zC65C0NJm=-S6gdSxI2mu{PDo8TwNVqMEUvce!k*!a6St%0V7p&H#3W^xg5 zb-%;`EAH)aC}7O7Jx&l2kM0kkha7jfvM~EbVv~OYdRz_=G~qbGPv6w zhxs)QSn&Q*o`8@DFge-^i+~6)Z`>9KsPfl16fn8lo^A(R0doGOUepe4LqT?-+sZ>h zW|-UKL?BZx==YNjkb=;$-`nHRkd526bV9&9aC;mEm|<>^!7M3IPHFWoDgIH z2L1kq1%Ui(9I$-;B^_w+FLA=Z(uObs{R=F@s9*6SEck1A!suVd7E)Lkm_2TV8H``Z zd~HiR1i=8_1fQ$3iM6eTGZ|!=retsF04ze+Y^Y8+I6%|inmv`Zmj#$Spb5pr)x_Bq TH=PIqBTzvyHZ}!~V`Tpa4}!Uz literal 0 HcmV?d00001 diff --git a/test/unit_tests/delayprog_4/run/test.prs b/test/unit_tests/delayprog_4/run/test.prs new file mode 100644 index 0000000..4167c16 --- /dev/null +++ b/test/unit_tests/delayprog_4/run/test.prs @@ -0,0 +1,190 @@ += "GND" "GND" += "Vdd" "Vdd" += "Reset" "Reset" += "t.y" "t.dp.y" += "t.a" "t.dp.a" += "t.dp.a" "t.dp.mu2[0].a" += "t.dp.a" "t.dp.and2[0].a" += "t.dp.a" "t.dp._a[0]" +"t.dp.and2[0].a"&"t.dp.and2[0].b"->"t.dp.and2[0]._y"- +~("t.dp.and2[0].a"&"t.dp.and2[0].b")->"t.dp.and2[0]._y"+ +"t.dp.and2[0]._y"->"t.dp.and2[0].y"- +~("t.dp.and2[0]._y")->"t.dp.and2[0].y"+ +"t.dp.and2[1].a"&"t.dp.and2[1].b"->"t.dp.and2[1]._y"- +~("t.dp.and2[1].a"&"t.dp.and2[1].b")->"t.dp.and2[1]._y"+ +"t.dp.and2[1]._y"->"t.dp.and2[1].y"- +~("t.dp.and2[1]._y")->"t.dp.and2[1].y"+ +"t.dp.and2[2].a"&"t.dp.and2[2].b"->"t.dp.and2[2]._y"- +~("t.dp.and2[2].a"&"t.dp.and2[2].b")->"t.dp.and2[2]._y"+ +"t.dp.and2[2]._y"->"t.dp.and2[2].y"- +~("t.dp.and2[2]._y")->"t.dp.and2[2].y"+ +"t.dp.and2[3].a"&"t.dp.and2[3].b"->"t.dp.and2[3]._y"- +~("t.dp.and2[3].a"&"t.dp.and2[3].b")->"t.dp.and2[3]._y"+ +"t.dp.and2[3]._y"->"t.dp.and2[3].y"- +~("t.dp.and2[3]._y")->"t.dp.and2[3].y"+ += "t.dp.s[0]" "t.dp.mu2[0].s" += "t.dp.s[0]" "t.dp.and2[0].b" += "t.dp.s[1]" "t.dp.mu2[1].s" += "t.dp.s[1]" "t.dp.and2[1].b" += "t.dp.s[2]" "t.dp.mu2[2].s" += "t.dp.s[2]" "t.dp.and2[2].b" += "t.dp.s[3]" "t.dp.mu2[3].s" += "t.dp.s[3]" "t.dp.and2[3].b" += "t.dp.supply.vdd" "t.dp.dly[14].vdd" += "t.dp.supply.vdd" "t.dp.dly[13].vdd" += "t.dp.supply.vdd" "t.dp.dly[12].vdd" += "t.dp.supply.vdd" "t.dp.dly[11].vdd" += "t.dp.supply.vdd" "t.dp.dly[10].vdd" += "t.dp.supply.vdd" "t.dp.dly[9].vdd" += "t.dp.supply.vdd" "t.dp.dly[8].vdd" += "t.dp.supply.vdd" "t.dp.dly[7].vdd" += "t.dp.supply.vdd" "t.dp.dly[6].vdd" += "t.dp.supply.vdd" "t.dp.dly[5].vdd" += "t.dp.supply.vdd" "t.dp.dly[4].vdd" += "t.dp.supply.vdd" "t.dp.dly[3].vdd" += "t.dp.supply.vdd" "t.dp.dly[2].vdd" += "t.dp.supply.vdd" "t.dp.dly[1].vdd" += "t.dp.supply.vdd" "t.dp.dly[0].vdd" += "t.dp.supply.vdd" "t.dp.mu2[3].vdd" += "t.dp.supply.vdd" "t.dp.mu2[2].vdd" += "t.dp.supply.vdd" "t.dp.mu2[1].vdd" += "t.dp.supply.vdd" "t.dp.mu2[0].vdd" += "t.dp.supply.vdd" "t.dp.and2[3].vdd" += "t.dp.supply.vdd" "t.dp.and2[2].vdd" += "t.dp.supply.vdd" "t.dp.and2[1].vdd" += "t.dp.supply.vdd" "t.dp.and2[0].vdd" += "t.dp.supply.vss" "t.dp.dly[14].vss" += "t.dp.supply.vss" "t.dp.dly[13].vss" += "t.dp.supply.vss" "t.dp.dly[12].vss" += "t.dp.supply.vss" "t.dp.dly[11].vss" += "t.dp.supply.vss" "t.dp.dly[10].vss" += "t.dp.supply.vss" "t.dp.dly[9].vss" += "t.dp.supply.vss" "t.dp.dly[8].vss" += "t.dp.supply.vss" "t.dp.dly[7].vss" += "t.dp.supply.vss" "t.dp.dly[6].vss" += "t.dp.supply.vss" "t.dp.dly[5].vss" += "t.dp.supply.vss" "t.dp.dly[4].vss" += "t.dp.supply.vss" "t.dp.dly[3].vss" += "t.dp.supply.vss" "t.dp.dly[2].vss" += "t.dp.supply.vss" "t.dp.dly[1].vss" += "t.dp.supply.vss" "t.dp.dly[0].vss" += "t.dp.supply.vss" "t.dp.mu2[3].vss" += "t.dp.supply.vss" "t.dp.mu2[2].vss" += "t.dp.supply.vss" "t.dp.mu2[1].vss" += "t.dp.supply.vss" "t.dp.mu2[0].vss" += "t.dp.supply.vss" "t.dp.and2[3].vss" += "t.dp.supply.vss" "t.dp.and2[2].vss" += "t.dp.supply.vss" "t.dp.and2[1].vss" += "t.dp.supply.vss" "t.dp.and2[0].vss" +"t.dp.mu2[0].s"->"t.dp.mu2[0]._s"- +~("t.dp.mu2[0].s")->"t.dp.mu2[0]._s"+ +~"t.dp.mu2[0].a"&~"t.dp.mu2[0].s"|~"t.dp.mu2[0].b"&~"t.dp.mu2[0]._s"->"t.dp.mu2[0]._y"+ +"t.dp.mu2[0].a"&"t.dp.mu2[0]._s"|"t.dp.mu2[0].b"&"t.dp.mu2[0].s"->"t.dp.mu2[0]._y"- +"t.dp.mu2[0]._y"->"t.dp.mu2[0].y"- +~("t.dp.mu2[0]._y")->"t.dp.mu2[0].y"+ +"t.dp.mu2[1].s"->"t.dp.mu2[1]._s"- +~("t.dp.mu2[1].s")->"t.dp.mu2[1]._s"+ +~"t.dp.mu2[1].a"&~"t.dp.mu2[1].s"|~"t.dp.mu2[1].b"&~"t.dp.mu2[1]._s"->"t.dp.mu2[1]._y"+ +"t.dp.mu2[1].a"&"t.dp.mu2[1]._s"|"t.dp.mu2[1].b"&"t.dp.mu2[1].s"->"t.dp.mu2[1]._y"- +"t.dp.mu2[1]._y"->"t.dp.mu2[1].y"- +~("t.dp.mu2[1]._y")->"t.dp.mu2[1].y"+ +"t.dp.mu2[2].s"->"t.dp.mu2[2]._s"- +~("t.dp.mu2[2].s")->"t.dp.mu2[2]._s"+ +~"t.dp.mu2[2].a"&~"t.dp.mu2[2].s"|~"t.dp.mu2[2].b"&~"t.dp.mu2[2]._s"->"t.dp.mu2[2]._y"+ +"t.dp.mu2[2].a"&"t.dp.mu2[2]._s"|"t.dp.mu2[2].b"&"t.dp.mu2[2].s"->"t.dp.mu2[2]._y"- +"t.dp.mu2[2]._y"->"t.dp.mu2[2].y"- +~("t.dp.mu2[2]._y")->"t.dp.mu2[2].y"+ +"t.dp.mu2[3].s"->"t.dp.mu2[3]._s"- +~("t.dp.mu2[3].s")->"t.dp.mu2[3]._s"+ +~"t.dp.mu2[3].a"&~"t.dp.mu2[3].s"|~"t.dp.mu2[3].b"&~"t.dp.mu2[3]._s"->"t.dp.mu2[3]._y"+ +"t.dp.mu2[3].a"&"t.dp.mu2[3]._s"|"t.dp.mu2[3].b"&"t.dp.mu2[3].s"->"t.dp.mu2[3]._y"- +"t.dp.mu2[3]._y"->"t.dp.mu2[3].y"- +~("t.dp.mu2[3]._y")->"t.dp.mu2[3].y"+ +"t.dp.dly[0].a"->"t.dp.dly[0]._y"- +~("t.dp.dly[0].a")->"t.dp.dly[0]._y"+ +"t.dp.dly[0]._y"->"t.dp.dly[0].y"- +~("t.dp.dly[0]._y")->"t.dp.dly[0].y"+ +"t.dp.dly[1].a"->"t.dp.dly[1]._y"- +~("t.dp.dly[1].a")->"t.dp.dly[1]._y"+ +"t.dp.dly[1]._y"->"t.dp.dly[1].y"- +~("t.dp.dly[1]._y")->"t.dp.dly[1].y"+ +"t.dp.dly[2].a"->"t.dp.dly[2]._y"- +~("t.dp.dly[2].a")->"t.dp.dly[2]._y"+ +"t.dp.dly[2]._y"->"t.dp.dly[2].y"- +~("t.dp.dly[2]._y")->"t.dp.dly[2].y"+ +"t.dp.dly[3].a"->"t.dp.dly[3]._y"- +~("t.dp.dly[3].a")->"t.dp.dly[3]._y"+ +"t.dp.dly[3]._y"->"t.dp.dly[3].y"- +~("t.dp.dly[3]._y")->"t.dp.dly[3].y"+ +"t.dp.dly[4].a"->"t.dp.dly[4]._y"- +~("t.dp.dly[4].a")->"t.dp.dly[4]._y"+ +"t.dp.dly[4]._y"->"t.dp.dly[4].y"- +~("t.dp.dly[4]._y")->"t.dp.dly[4].y"+ +"t.dp.dly[5].a"->"t.dp.dly[5]._y"- +~("t.dp.dly[5].a")->"t.dp.dly[5]._y"+ +"t.dp.dly[5]._y"->"t.dp.dly[5].y"- +~("t.dp.dly[5]._y")->"t.dp.dly[5].y"+ +"t.dp.dly[6].a"->"t.dp.dly[6]._y"- +~("t.dp.dly[6].a")->"t.dp.dly[6]._y"+ +"t.dp.dly[6]._y"->"t.dp.dly[6].y"- +~("t.dp.dly[6]._y")->"t.dp.dly[6].y"+ +"t.dp.dly[7].a"->"t.dp.dly[7]._y"- +~("t.dp.dly[7].a")->"t.dp.dly[7]._y"+ +"t.dp.dly[7]._y"->"t.dp.dly[7].y"- +~("t.dp.dly[7]._y")->"t.dp.dly[7].y"+ +"t.dp.dly[8].a"->"t.dp.dly[8]._y"- +~("t.dp.dly[8].a")->"t.dp.dly[8]._y"+ +"t.dp.dly[8]._y"->"t.dp.dly[8].y"- +~("t.dp.dly[8]._y")->"t.dp.dly[8].y"+ +"t.dp.dly[9].a"->"t.dp.dly[9]._y"- +~("t.dp.dly[9].a")->"t.dp.dly[9]._y"+ +"t.dp.dly[9]._y"->"t.dp.dly[9].y"- +~("t.dp.dly[9]._y")->"t.dp.dly[9].y"+ +"t.dp.dly[10].a"->"t.dp.dly[10]._y"- +~("t.dp.dly[10].a")->"t.dp.dly[10]._y"+ +"t.dp.dly[10]._y"->"t.dp.dly[10].y"- +~("t.dp.dly[10]._y")->"t.dp.dly[10].y"+ +"t.dp.dly[11].a"->"t.dp.dly[11]._y"- +~("t.dp.dly[11].a")->"t.dp.dly[11]._y"+ +"t.dp.dly[11]._y"->"t.dp.dly[11].y"- +~("t.dp.dly[11]._y")->"t.dp.dly[11].y"+ +"t.dp.dly[12].a"->"t.dp.dly[12]._y"- +~("t.dp.dly[12].a")->"t.dp.dly[12]._y"+ +"t.dp.dly[12]._y"->"t.dp.dly[12].y"- +~("t.dp.dly[12]._y")->"t.dp.dly[12].y"+ +"t.dp.dly[13].a"->"t.dp.dly[13]._y"- +~("t.dp.dly[13].a")->"t.dp.dly[13]._y"+ +"t.dp.dly[13]._y"->"t.dp.dly[13].y"- +~("t.dp.dly[13]._y")->"t.dp.dly[13].y"+ +"t.dp.dly[14].a"->"t.dp.dly[14]._y"- +~("t.dp.dly[14].a")->"t.dp.dly[14]._y"+ +"t.dp.dly[14]._y"->"t.dp.dly[14].y"- +~("t.dp.dly[14]._y")->"t.dp.dly[14].y"+ += "t.dp.dly[6].y" "t.dp.mu2[3].b" += "t.dp.dly[6].a" "t.dp.dly[5].y" += "t.dp.dly[5].a" "t.dp.dly[4].y" += "t.dp.dly[4].a" "t.dp.and2[3].y" += "t.dp.dly[3].y" "t.dp.mu2[2].b" += "t.dp.dly[3].a" "t.dp.dly[2].y" += "t.dp.dly[2].a" "t.dp.and2[2].y" += "t.dp.dly[1].y" "t.dp.mu2[1].b" += "t.dp.dly[1].a" "t.dp.and2[1].y" += "t.dp.dly[0].y" "t.dp.mu2[0].b" += "t.dp.dly[0].a" "t.dp.and2[0].y" += "t.dp._a[1]" "t.dp.mu2[1].a" += "t.dp._a[1]" "t.dp.and2[1].a" += "t.dp._a[1]" "t.dp.mu2[0].y" += "t.dp._a[2]" "t.dp.mu2[2].a" += "t.dp._a[2]" "t.dp.and2[2].a" += "t.dp._a[2]" "t.dp.mu2[1].y" += "t.dp._a[3]" "t.dp.mu2[3].a" += "t.dp._a[3]" "t.dp.and2[3].a" += "t.dp._a[3]" "t.dp.mu2[2].y" += "t.dp.y" "t.dp.mu2[3].y" += "t.dp.y" "t.dp._a[4]" += "Vdd" "t.dp.supply.vdd" += "GND" "t.dp.supply.vss" += "t.s[0]" "t.dp.s[0]" += "t.s[1]" "t.dp.s[1]" += "t.s[2]" "t.dp.s[2]" += "t.s[3]" "t.dp.s[3]" diff --git a/test/unit_tests/delayprog_4/test.act b/test/unit_tests/delayprog_4/test.act new file mode 100644 index 0000000..ab4e929 --- /dev/null +++ b/test/unit_tests/delayprog_4/test.act @@ -0,0 +1,41 @@ +/************************************************************************* + * + * This file is part of ACT dataflow neuro library. + * It's the testing facility for cell_lib_std.act + * + * Copyright (c) 2022 University of Groningen - Ole Richter + * Copyright (c) 2022 University of Groningen - Hugh Greatorex + * Copyright (c) 2022 University of Groningen - Michele Mastella + * Copyright (c) 2022 University of Groningen - Madison Cotteret + * + * This source describes Open Hardware and is licensed under the CERN-OHL-W v2 or later + * + * You may redistribute and modify this documentation and make products + * using it under the terms of the CERN-OHL-W v2 (https:/cern.ch/cern-ohl). + * This documentation is distributed WITHOUT ANY EXPRESS OR IMPLIED + * WARRANTY, INCLUDING OF MERCHANTABILITY, SATISFACTORY QUALITY + * AND FITNESS FOR A PARTICULAR PURPOSE. Please see the CERN-OHL-W v2 + * for applicable conditions. + * + * Source location: https://git.web.rug.nl/bics/actlib_dataflow_neuro + * + * As per CERN-OHL-W v2 section 4.1, should You produce hardware based on + * these sources, You must maintain the Source Location visible in its + * documentation. + * + ************************************************************************** + */ + +import "../../dataflow_neuro/primitives.act"; +import globals; + +open tmpl::dataflow_neuro; + +defproc delayprog_4 (bool? s[4], a; bool! y){ + delayprog<4> dp(.a=a, .y=y, .s = s); + dp.supply.vss = GND; + dp.supply.vdd = Vdd; + +} + +delayprog_4 t; \ No newline at end of file diff --git a/test/unit_tests/delayprog_4/test.prsim b/test/unit_tests/delayprog_4/test.prsim new file mode 100644 index 0000000..02e71b6 --- /dev/null +++ b/test/unit_tests/delayprog_4/test.prsim @@ -0,0 +1,42 @@ +watchall + +system "echo '0'" + +set t.a 0 +set t.s[0] 1 +set t.s[1] 1 +set t.s[2] 1 +set t.s[3] 1 + +cycle +mode run + +assert t.y 0 + +system "echo '[] setting high'" +set t.a 1 +cycle +assert t.y 1 + +system "echo '[] setting low'" +set t.a 0 +cycle +assert t.y 0 + +system "echo '[] setting configs low'" +set t.s[0] 0 +set t.s[1] 0 +set t.s[2] 0 +set t.s[3] 0 +cycle +assert t.y 0 + +system "echo '[] setting high'" +set t.a 1 +cycle +assert t.y 1 + +system "echo '[] setting low'" +set t.a 0 +cycle +assert t.y 0