From 4819635e3553fad7b5f12c999ff7cdcf32422aec Mon Sep 17 00:00:00 2001 From: Michele Date: Thu, 24 Feb 2022 16:47:24 +0100 Subject: [PATCH] continued arbitrer_handshake test (still doesn't work) --- dataflow_neuro/primitives.act | 188 +++++++++--------- .../arbiter_handshake/run/prsim.out | 63 ++++++ .../arbiter_handshake/run/prsim.pdf | Bin 0 -> 13206 bytes .../unit_tests/arbiter_handshake/run/test.prs | 67 +++++++ test/unit_tests/arbiter_handshake/test.act | 4 +- test/unit_tests/arbiter_handshake/test.prsim | 67 +++---- 6 files changed, 263 insertions(+), 126 deletions(-) create mode 100644 test/unit_tests/arbiter_handshake/run/prsim.out create mode 100644 test/unit_tests/arbiter_handshake/run/prsim.pdf diff --git a/dataflow_neuro/primitives.act b/dataflow_neuro/primitives.act index 40f8ba5..2129d83 100644 --- a/dataflow_neuro/primitives.act +++ b/dataflow_neuro/primitives.act @@ -150,92 +150,92 @@ namespace tmpl { ) } - export template - defproc demux (avMx1of2 in; avMx1of2 out1; avMx1of2 out2; bool? reset_B, c_t, c_f; power supply) { - //control - bool _en, _reset_BX,_reset_BXX[N], _out_v; + // export template + // defproc demux (avMx1of2 in; avMx1of2 out1; avMx1of2 out2; bool? reset_B, c_t, c_f; power supply) { + // //control + // bool _en, _reset_BX,_reset_BXX[N], _out_v; - OR2_X1 out_or(.a=out1.v, .b=out2.v, .y=_out_v,.vdd=supply.vdd,.vss=supply.vss); - A_3C_RB_X4 inack_ctl(.c1=_en,.c2=_in_c_v_,.c3= _out_v,.y=in.a,.pr_B=_reset_BXX,.sr_B=_reset_BXX,.vdd=supply.vdd,.vss=supply.vss); - A_1C1P_X1 en_ctl(.c1=in.a,.p1=_out_v,.y=_en,.vdd=supply.vdd,.vss=supply.vss); - BUF_X1 reset_buf(.a=reset_B, .y=_reset_BX,.vdd=supply.vdd,.vss=supply.vss); - sigbuf<2*N> reset_bufarray(.in=_reset_BX, .out=_reset_BXX); - A_1C1P_X1 en_ctl(.c1=in.a,.p1=out.v,.y=_en,.vdd=supply.vdd,.vss=supply.vss); + // OR2_X1 out_or(.a=out1.v, .b=out2.v, .y=_out_v,.vdd=supply.vdd,.vss=supply.vss); + // A_3C_RB_X4 inack_ctl(.c1=_en,.c2=_in_c_v_,.c3= _out_v,.y=in.a,.pr_B=_reset_BXX,.sr_B=_reset_BXX,.vdd=supply.vdd,.vss=supply.vss); + // A_1C1P_X1 en_ctl(.c1=in.a,.p1=_out_v,.y=_en,.vdd=supply.vdd,.vss=supply.vss); + // BUF_X1 reset_buf(.a=reset_B, .y=_reset_BX,.vdd=supply.vdd,.vss=supply.vss); + // sigbuf<2*N> reset_bufarray(.in=_reset_BX, .out=_reset_BXX); + // A_1C1P_X1 en_ctl(.c1=in.a,.p1=out.v,.y=_en,.vdd=supply.vdd,.vss=supply.vss); - //validity - bool _in_v, _c_f_buf, _c_t_buf, _c_v, _in_c_v_; + // //validity + // bool _in_v, _c_f_buf, _c_t_buf, _c_v, _in_c_v_; - sigbuf c_buf_t(.in=c_t, .out=_c_t_buf); - sigbuf c_buf_f(.in=c_f, .out=_c_f_buf); + // sigbuf c_buf_t(.in=c_t, .out=_c_t_buf); + // sigbuf c_buf_f(.in=c_f, .out=_c_f_buf); - OR2_X1 c_f_c_t_or(.a=c_t, .b=c_f, .y=_c_v,.vdd=supply.vdd,.vss=supply.vss); - ctree vc(.in=in.d,.out=_in_v,.supply=supply); + // OR2_X1 c_f_c_t_or(.a=c_t, .b=c_f, .y=_c_v,.vdd=supply.vdd,.vss=supply.vss); + // ctree vc(.in=in.d,.out=_in_v,.supply=supply); - A_2C_RB_X4 c_el(.c1=_c_v, .c2=_in_v, .y=_in_c_v_,.vdd=supply.vdd,.vss=supply.vss); - BUF_X4 in_v_buf(.a=_in_v, .y=in.v,.vdd=supply.vdd,.vss=supply.vss); + // A_2C_RB_X4 c_el(.c1=_c_v, .c2=_in_v, .y=_in_c_v_,.vdd=supply.vdd,.vss=supply.vss); + // BUF_X4 in_v_buf(.a=_in_v, .y=in.v,.vdd=supply.vdd,.vss=supply.vss); - //function - //func buffer out1 - bool _out1_a_BX_t[N],_out1_a_BX_f[N],_out1_a_B,_en1_X_t[N],_en1_X_f[N]; - A_2C2N_RB_X4 out1_f_buf_func[N]; - A_2C2N_RB_X4 out1_t_buf_func[N]; - sigbuf out1_en_buf_t(.in=_en, .out=_en1_X_t, .supply=supply); - sigbuf out1_en_buf_f(.in=_en, .out=_en1_X_f, .supply=supply); - INV_X1 out1_a_inv(.a=out1.a,.y=_out1_a_B); - sigbuf out1_a_B_buf_f(.in=_out1_a_B,.out=_out1_a_BX_t); - sigbuf out1_a_B_buf_t(.in=_out1_a_B,.out=_out1_a_BX_f); - (i:N: - out1_f_buf_func[i].y=out1.d.d[i].f; - out1_t_buf_func[i].y=out1.d.d[i].t; - out1_f_buf_func[i].c1=_en1_X_f[i]; - out1_t_buf_func[i].c1=_en1_X_t[i]; - out1_f_buf_func[i].c2=_out1_a_BX_f[i]; - out1_t_buf_func[i].c2=_out1_a_BX_t[i]; - out1_f_buf_func[i].n1=in.d.d[i].f; - out1_t_buf_func[i].n1=in.d.d[i].t; - out1_f_buf_func[i].vdd=supply.vdd; - out1_t_buf_func[i].vdd=supply.vdd; - out1_f_buf_func[i].vss=supply.vss; - out1_t_buf_func[i].vss=supply.vss; - out1_t_buf_func[i].pr_B = _reset_BXX[i]; - out1_t_buf_func[i].sr_B = _reset_BXX[i]; - out1_f_buf_func[i].pr_B = _reset_BXX[i]; - out1_f_buf_func[i].sr_B = _reset_BXX[i]; - out1_f_buf_func[i].n2=_c_t_buf; - out1_t_buf_func[i].n2=_c_t_buf; - ) - //func buffer out2 - bool _out2_a_BX_t[N],_out2_a_BX_f[N],_out2_a_B,_en2_X_t[N],_en2_X_f[N]; - A_2C2N_RB_X4 out2_f_buf_func[N]; - A_2C2N_RB_X4 out2_t_buf_func[N]; - sigbuf out2_en_buf_t(.in=_en, .out=_en2_X_t, .supply=supply); - sigbuf out2_en_buf_f(.in=_en, .out=_en2_X_f, .supply=supply); - INV_X1 out2_a_inv(.a=out2.a,.y=_out2_a_B); - sigbuf out2_a_B_buf_f(.in=_out2_a_B,.out=_out2_a_BX_t); - sigbuf out2_a_B_buf_t(.in=_out2_a_B,.out=_out2_a_BX_f); - (i:N: - out2_f_buf_func[i].y=out2.d.d[i].f; - out2_t_buf_func[i].y=out2.d.d[i].t; - out2_f_buf_func[i].c1=_en2_X_f[i]; - out2_t_buf_func[i].c1=_en2_X_t[i]; - out2_f_buf_func[i].c2=_out2_a_BX_f[i]; - out2_t_buf_func[i].c2=_out2_a_BX_t[i]; - out2_f_buf_func[i].n1=in.d.d[i].f; - out2_t_buf_func[i].n1=in.d.d[i].t; - out2_f_buf_func[i].vdd=supply.vdd; - out2_t_buf_func[i].vdd=supply.vdd; - out2_f_buf_func[i].vss=supply.vss; - out2_t_buf_func[i].vss=supply.vss; - out2_t_buf_func[i].pr_B = _reset_BXX[i+N-1]; - out2_t_buf_func[i].sr_B = _reset_BXX[i+N-1]; - out2_f_buf_func[i].pr_B = _reset_BXX[i+N-1]; - out2_f_buf_func[i].sr_B = _reset_BXX[i+N-1]; - out2_f_buf_func[i].n2=_c_f_buf; - out2_t_buf_func[i].n2=_c_f_buf; - ) + // //function + // //func buffer out1 + // bool _out1_a_BX_t[N],_out1_a_BX_f[N],_out1_a_B,_en1_X_t[N],_en1_X_f[N]; + // A_2C2N_RB_X4 out1_f_buf_func[N]; + // A_2C2N_RB_X4 out1_t_buf_func[N]; + // sigbuf out1_en_buf_t(.in=_en, .out=_en1_X_t, .supply=supply); + // sigbuf out1_en_buf_f(.in=_en, .out=_en1_X_f, .supply=supply); + // INV_X1 out1_a_inv(.a=out1.a,.y=_out1_a_B); + // sigbuf out1_a_B_buf_f(.in=_out1_a_B,.out=_out1_a_BX_t); + // sigbuf out1_a_B_buf_t(.in=_out1_a_B,.out=_out1_a_BX_f); + // (i:N: + // out1_f_buf_func[i].y=out1.d.d[i].f; + // out1_t_buf_func[i].y=out1.d.d[i].t; + // out1_f_buf_func[i].c1=_en1_X_f[i]; + // out1_t_buf_func[i].c1=_en1_X_t[i]; + // out1_f_buf_func[i].c2=_out1_a_BX_f[i]; + // out1_t_buf_func[i].c2=_out1_a_BX_t[i]; + // out1_f_buf_func[i].n1=in.d.d[i].f; + // out1_t_buf_func[i].n1=in.d.d[i].t; + // out1_f_buf_func[i].vdd=supply.vdd; + // out1_t_buf_func[i].vdd=supply.vdd; + // out1_f_buf_func[i].vss=supply.vss; + // out1_t_buf_func[i].vss=supply.vss; + // out1_t_buf_func[i].pr_B = _reset_BXX[i]; + // out1_t_buf_func[i].sr_B = _reset_BXX[i]; + // out1_f_buf_func[i].pr_B = _reset_BXX[i]; + // out1_f_buf_func[i].sr_B = _reset_BXX[i]; + // out1_f_buf_func[i].n2=_c_t_buf; + // out1_t_buf_func[i].n2=_c_t_buf; + // ) + // //func buffer out2 + // bool _out2_a_BX_t[N],_out2_a_BX_f[N],_out2_a_B,_en2_X_t[N],_en2_X_f[N]; + // A_2C2N_RB_X4 out2_f_buf_func[N]; + // A_2C2N_RB_X4 out2_t_buf_func[N]; + // sigbuf out2_en_buf_t(.in=_en, .out=_en2_X_t, .supply=supply); + // sigbuf out2_en_buf_f(.in=_en, .out=_en2_X_f, .supply=supply); + // INV_X1 out2_a_inv(.a=out2.a,.y=_out2_a_B); + // sigbuf out2_a_B_buf_f(.in=_out2_a_B,.out=_out2_a_BX_t); + // sigbuf out2_a_B_buf_t(.in=_out2_a_B,.out=_out2_a_BX_f); + // (i:N: + // out2_f_buf_func[i].y=out2.d.d[i].f; + // out2_t_buf_func[i].y=out2.d.d[i].t; + // out2_f_buf_func[i].c1=_en2_X_f[i]; + // out2_t_buf_func[i].c1=_en2_X_t[i]; + // out2_f_buf_func[i].c2=_out2_a_BX_f[i]; + // out2_t_buf_func[i].c2=_out2_a_BX_t[i]; + // out2_f_buf_func[i].n1=in.d.d[i].f; + // out2_t_buf_func[i].n1=in.d.d[i].t; + // out2_f_buf_func[i].vdd=supply.vdd; + // out2_t_buf_func[i].vdd=supply.vdd; + // out2_f_buf_func[i].vss=supply.vss; + // out2_t_buf_func[i].vss=supply.vss; + // out2_t_buf_func[i].pr_B = _reset_BXX[i+N-1]; + // out2_t_buf_func[i].sr_B = _reset_BXX[i+N-1]; + // out2_f_buf_func[i].pr_B = _reset_BXX[i+N-1]; + // out2_f_buf_func[i].sr_B = _reset_BXX[i+N-1]; + // out2_f_buf_func[i].n2=_c_f_buf; + // out2_t_buf_func[i].n2=_c_f_buf; + // ) - } + // } export template @@ -327,17 +327,27 @@ namespace tmpl { // sigbuf reset_bufarray(.in=_reset_BX, .out=_reset_BXX); // //validity - - // //function + // bool _in1_v,_in2_v; + // a1of1 _in1_temp,_in2_temp,_out_temp; + // ctree vc1(.in=in1.d,.out=in1.v,.supply=supply); + // ctree vc2(.in=in2.d,.out=in2.v,.supply=supply); + // arbiter_handshake validity_arb(.in1 = _in1_temp,.in2 = _in2_temp,.out =_out_temp) + // _in1_temp.r = in1.v + // _in2_temp.r = in2.v + // _in1_temp.a = + // _in1_temp.a = + // _out_temp.r = _out_temp.a + //function // } + export + defproc arbiter_handshake(a1of1 in1; a1of1 in2; a1of1 out; power supply) + { + bool _y1_arb,_y2_arb; - // defproc arbiter_handshake(a1of1 in1; a1of1 in2; a1of1 out; power supply) - // { - // bool _y1_arb,_y2_arb; - - // A_2C_B_X1 cel1(.c1 = out.a,.c2 = _y1_arb,.y = in2.a,.vdd = supply.vdd, .vss = supply.vss); - // A_2C_B_X1 cel2(.c1 = out.a,.c2 = _y2_arb,.y = in1.a,.vdd = supply.vdd, .vss = supply.vss); - // OR2_X1 or_cell(.a = _y1_arb, .b = _y2_arb, .y = out.r,.vdd = supply.vdd, .vss = supply.vss); - // ARBITER arbiter(.a = in1.r, .b = in2.r, .c = in2.a, .d = in1.a, .y1 = _y1_arb, .y2 = _y2_arb, .vdd = supply.vdd, .vss = supply.vss); - // } + A_2C_B_X1 ack_cel1(.c1 = out.a,.c2 = _y1_arb,.y = in1.a,.vdd = supply.vdd, .vss = supply.vss); + A_2C_B_X1 ack_cel2(.c1 = out.a,.c2 = _y2_arb,.y = in2.a,.vdd = supply.vdd, .vss = supply.vss); + OR2_X1 or_cell(.a = _y1_arb, .b = _y2_arb, .y = out.r,.vdd = supply.vdd, .vss = supply.vss); + ARBITER arbiter(.a = in1.r, .b = in2.r, .c = in2.a, .d = in1.a, .y1 = _y1_arb, .y2 = _y2_arb, .vdd = supply.vdd, .vss = supply.vss); + + } }} diff --git a/test/unit_tests/arbiter_handshake/run/prsim.out b/test/unit_tests/arbiter_handshake/run/prsim.out new file mode 100644 index 0000000..dc70d3b --- /dev/null +++ b/test/unit_tests/arbiter_handshake/run/prsim.out @@ -0,0 +1,63 @@ +t.in1.r t.in2.r t.out.r t.a.arbiter._y1 t.in1.a t.a.arbiter._y2 t.out.a t.a._y2_arb t.a._y1_arb t.a.ack_cel2._y t.in2.a t.a.or_cell._y t.a.ack_cel1._y + 0 t.in1.r : 0 + 0 t.out.a : 0 + 0 t.in2.r : 0 + 1 t.a.arbiter._y1 : 1 [by t.in1.r:=0] + 7092 t.a.arbiter._y2 : 1 [by t.in2.r:=0] +t.out.r t.in1.a t.a._y2_arb t.a._y1_arb t.a.ack_cel2._y t.in2.a t.a.or_cell._y t.a.ack_cel1._y +[0] reset done + 7092 t.in1.r : 1 + 17559 t.a.arbiter._y1 : 0 [by t.in1.r:=1] + 17561 t.a._y1_arb : 1 [by t.a.arbiter._y1:=0] + 22314 t.a.or_cell._y : 0 [by t.a._y1_arb:=1] + 23451 t.out.r : 1 [by t.a.or_cell._y:=0] + 23451 t.out.a : 1 + 23565 t.a.ack_cel1._y : 0 [by t.out.a:=1] + 88931 t.in1.a : 1 [by t.a.ack_cel1._y:=0] + 90657 t.a._y2_arb : 0 [by t.in1.a:=1] +[1] test in1 done + 90657 t.in1.r : 0 + 90657 t.out.a : 0 + 90672 t.a.ack_cel2._y : 1 [by t.out.a:=0] +WARNING: weak-interference `t.a._y1_arb' +>> cause: t.a.arbiter._y1 (val: 1) +>> time: 90696 + 90696 t.a.arbiter._y1 : 1 [by t.in1.r:=0] +WARNING: weak-interference `t.a.or_cell._y' +>> cause: t.a._y1_arb (val: X) +>> time: 90709 + 90709 t.a._y1_arb : X [by t.a.arbiter._y1:=1] +WARNING: weak-interference `t.in1.a' +>> cause: t.a.ack_cel1._y (val: X) +>> time: 90749 + 90749 t.a.ack_cel1._y : X [by t.a._y1_arb:=X] +WARNING: weak-interference `t.a._y2_arb' +>> cause: t.in1.a (val: X) +>> time: 90769 + 90769 t.in1.a : X [by t.a.ack_cel1._y:=X] +WARNING: weak-interference `t.out.r' +>> cause: t.a.or_cell._y (val: X) +>> time: 91124 + 91124 t.a.or_cell._y : X [by t.a._y1_arb:=X] + 91163 t.in2.a : 0 [by t.a.ack_cel2._y:=1] + 91171 t.out.r : X [by t.a.or_cell._y:=X] + 91179 t.a._y1_arb : 1 [by t.in2.a:=0] + 96830 t.a._y2_arb : X [by t.in1.a:=X] + 135277 t.a.or_cell._y : 0 [by t.a._y1_arb:=1] + 149001 t.out.r : 1 [by t.a.or_cell._y:=0] +t.in1.a t.a._y2_arb t.a.ack_cel1._y +WRONG ASSERT: "t.out.r" has value 1 and not 0. +WRONG ASSERT: "t.in1.a" has value X and not 0. +[2] reset done + 149001 t.in2.r : 1 + 149005 t.a.arbiter._y2 : 0 [by t.in2.r:=1] + 158134 t.a._y2_arb : 1 [by t.a.arbiter._y2:=0] + 158134 t.out.a : 1 + 158149 t.a.ack_cel2._y : 0 [by t.out.a:=1] + 195080 t.in2.a : 1 [by t.a.ack_cel2._y:=0] +WARNING: unstable `t.a.ack_cel1._y'- +>> cause: t.a._y1_arb (val: 0) +>> time: 195135 + 195135 t.a._y1_arb : 0 [by t.in2.a:=1] + 201382 t.a.ack_cel1._y : X [by t.a._y1_arb:=0] +[3] test in2 done diff --git a/test/unit_tests/arbiter_handshake/run/prsim.pdf b/test/unit_tests/arbiter_handshake/run/prsim.pdf new file mode 100644 index 0000000000000000000000000000000000000000..a15619a1242d48b6c8420fb13ec8782e921bc8b7 GIT binary patch literal 13206 zcmdUW2{@GB_qdQW#uiz!y^^9BEYW*l#QPc&5q839049fV=zBGkOiU4ya7)AueHI~ z+6;1nP<9?vS5JmJM4TJG$$Hy%I*kRXu_ymd4(3M&^cV~u78Amt=O{1$)X*6KK%Se! z#Lvgy7lJu8u!B&B^Z-v9oniv8gExCWDud}u^`kRryXTpo>kn|DEa|SERDGX7Ks`J+ zfrwYdVlj9uiJ*qTLpU^66$?MH-(liy;E7msqC}u5bf%BL9}UPB7T!16!s7lxurQJH zD`D*E1!M=IjJ<$x4e2x=SFjcqbcQ?21H#NL5Fm@m@}pC|5rHSJIo2mg*9lilZG6~T zxq|7Eu-sMTLp*VT+`{dK_2+^O0}YQ)_G&CwNfU2hk6mLg6Loa2on)|KWuxk`fwuc= zbk+t<8|t`-UoAhAk-<2ot<|gluEC+-`^=$TGs8vei7WbL`W*Jod>;SMKb~QJdEMLE z^w)~YWgjKPjwfF-y`7dOc&|4#BW+{v;Ri0JZ zOH5*qT;`K5m?Mk(^-e-M& zMGVhC*PBj5q~_{=f788sH*M)@xvw7_Jh!FA)nw^{m3EslcRgKGQ;};?SdwDIO*_4& zHs^HgbzALm`<2(o>*}q#C~FoOKc=p4kdIoPSKN^1T9hcjxw>WniCb`m!Rea{r@T*~_isLKbJ;7NCK6)X#4R(@ z;hMs%ys^x?2DdPt@4)03ZY`gK*wus#7%z z@uv@u*n3^0c1nr5AE$@WY(38s(vZf~(`!P_J06d{XtOU3yOWX}e$lGDeK#$9W8{PC zR)gS?jT;f-$WJR9p}ZggOdQ7JSfuUae;O+F9#_!_M0mBzEW|deKA1S6(6| zjjbEkt}bD+@=rE+_@2F&nIGbflYFrwEiwCH@|}mu)q&A@_sZXtS|Q)01{{9m#<@1f zASW&?_=v@ETPQs?DS?ccY6*KLNiWiW!EF(R3=cj$Uh$Z8^^?aj z3aX_g{cMx^_6Skseh$aFkgXSMCA`+wZp~UnaX(J_e6Q4^wOyS%YKIb5bi}sye!IHZ zWz90j8gJ3lcOPW)T(}-&AyJspLX4|c+VSbh_Dbt#7o+w+NQo0}ZgqA|w%TC&?%l4( z7FX@9q#TuRn`>O8#O)+6J|x8bN=cK85Sb7tFphmwOKE&Cx%h2K{pX8DN8Wd4#f-%z z-U%Eci3~MN?1^r9B=iJ#QcE~-*?4W>SqJXx&a}d-*yF*DjF#40oT!Qcy~4H-_0vlu z5^TeX8f}9Dr6yaYY$f7ilA`T-YQwVaWL-TFD+_T-RHJqK-NWuj1X%G*$RE6UI8ac= zGzz_6X1UTIqMSaQ;ig>3Q&F@-fGsST$f1I z_BFwoO2&s-x*lD=zAU4(iDbPm>p=aT8v+Q1bvAQy$$5_aY<%hhk`YehRpas%Og|4n zLQR~$nO|$<>TQoL&K{6kyIXH65IUm!BJk!WixfKP$T}I-kLd9Sk=c9)&-1VCczp#E z{vhSibhwzE{E&b3>0O}*H*p9ga@7mb3k_bJ>y8_dYSPQxb@2JaOSDfn812tHM~~{} zuUX`4l3OO6xqFaWcv)$uZsy3-5;5s)3GZ@bf-`62{$tg3m#s`?O?0V?q$MI-`vaAw zrA=&w1xPp42cN1m3tJy(iivRTm3(+dpm#VG{n+1ar8KvF1eY)GQ&Hqj9u>n4)r0B! zzJgaOHcDl0kZY@h1cegnY5kfayBbTSw2r+?ZnZjpI9L5c;DD2^x1_fy|E5VE8I8E= zMYUo{i=@N@IQ+#o>^g-4Si^-8Bu(@qPZ>=!WX0Q3<&^5Cmk|qZ%}6aa2wv>X;tw$p zRgixztCpfkiwoFl+~RRpj>KE?bi?g*!cb3nTv$I>?a77won^a+2+bhoWMc7NQ2>+Hif+dg#$jM;olXb5V4f1CS? z@W~k5V>971c+{1ZN9?EL^@KOESc&y~wI>-y&+kWZ+744SE^NjZq3#IDwQN~ifaMs< zUwfy{$TW+$?l7sd4aw;_d)jxSaGP6Yzmh_nQ;Uwi0r6B4|4j+V40_&kR6z5XlmchE z;gCk~5-}mLgh!7*e@1_{EK`CvW~%Va3(0MT1}j`UktN3~^^Wa|ecpJ5Z;w=-iow8^ zJL-8Ei?cqKu5(wC9`$F@E4T1hHu>^+a$qc1qHn9$WSHva_O=UU54L8Ea=RaPNU2wQ zC2~=~m)q0abBBh$K32Fw?6Z8n!t<14g@@|jfBrP87M)Ex zm^Ns(UhaBJg>&ukI|t?DWi|iFvHYU0kg|PXf#yQZ3*_b;lG`B*7irOEUqXJOqT}+e zE2(Yrs+1$cq$dyjBKXM4t7K#cGKSDkwTJcwvN7$gNa{2}3b;&t^44XTsaKzm;|j?p zufu1nY478^Wj1h6;JRDr+wM31Rj-d2zN+AoUZvBY)iErrIxu{5fP3$$g7N1a_c`~5 zPP|`IIW@RI+M~ebTF=Woe^jf&mgwC!fv5I`UA(o-SG`2d?ruGL<$(dI`-F|I=DcTz z1$+zK?_<(E&B&qWMH{F^haNOD#S830rY5I3syfPiChBAwODT(1_6~la?2RC*OkF!M zC|lL{V6Y_q@-Ci|jdw!N$y8V$(9kLf4wLQk{e1t1oOfWT&x@AV4>TKenyKZ5gr3tw zTr_(d$6iRnuQsRJB! zXJZ7*l*E9vf-ZQgaOyPMmFt9uu;i*bV$V2dEh3dC`4^~dKRGP(Op5$D$eKwS-tAT$ z^6>30gZRTEEmy61j=UecvcJz*vZ$LD$-%c+@9F@jdb7ceyiggscx8vmxkuDRg`MP& zs&pTd1zQewtq(d?pshK!in_A;4d1>HP9B{mX_LTigYf3#P78%fQnSLF4`KHu?+=(} zRsoBTh^qik86QMzev+-H7ufd6}V+U(d-h)+2En2T%vbryyT-PH~Wu+!;)H}pI zdg>#+)KXT{W|(J2y{BlpGyXBcDLc_&<5cqMOD8}2ms&m^UsH{0bE;v`EI(rJ*N+#s zN1)m?m9kqj`(A!387qDmVg1M}?$vRVOZ*E%*Y;&L5LE+=9~D_W)6bK~5w)iYLEUtX)A!7Vml{XB9F)L=j(g3ec7xh2Sp=~z49AuW*CgO7yS;UB z0L$XD?-`;xIW}Pdm<~@js^b6y)XfiqclA7HM|S^q#s>)w)rX^M!AK7A?eT?mMF6A9 zaV?(Emqk2RyJ#FYY;wBCFdSo^J;Anv@5=_-<)#Bdc2D)+=UGa#dYKcYI=EHA-0|r@ zzMp%<-#dp)$-V9Qoc3y8Rr%+lnZBOZ$2KX~fJZoJ} zX|vMp(Fiy7>%+EW@kK<-OBp$9&iAMW3UsVI<@iBZr@ZB~>_dX;Vl~#rvvg%V?b7Fc z4}xdnu+O$zIC)WWg5@-w4c8A3FEWW)PD>LX>epL5vaZI%A^ONJBQCv(H$@G>izm9D zZohkF(Z!=p8p>{kFIf~-hWG(_*}HEpOb(pw+@at6u~0?vooQzj&QEE=uay?_$TTR)Bww2UYAcfw085t-u6Wd2!YMc0W zq9w?QK?C;Av+|LtPcCgSZQ14;=3gK(~u}Y~- zdE4nrQaS3XTay)^zYBeG`iR?}Ac~nVdjFo>dXGj`%fY*qSkJp^;^u zm(EmkJenw1x_$bV`NaI3%jf<1v4~Yb@Tl2Sar2#l4CyFXtq@8Q&w?M=I2ysoaTir>;QiFev? zv3oIoLhQ@F=8DRW&w^I+_QHP<*pIQ5|MaC}@#LQbre&j%U?`7#NsdHKu6U#1D{Gvy z*6meqKG!j28;iZgxD_qJVJ1jSN#lmzF1t;u4fktq#rz6k9WXm*}XJ zr1b*oqflb%&u64G=y*4zAk^Jjxz{WydFCo&NxD~NMv0S5!H&vi1uPHA)x3LFec08q zDOVxAtIfJQ{iC$+b(hDkR!8bsvW*{46gBN9FD;$wk0CCyjDI{lHYMV@8bv9SaX7ix zf60*^i6Mz4Y3}21agkv>-qjG9LYa9-H-3Dz_h+nWIN^B)!(2WP7 z9JNKa@?uPhzN)w>@>auwtH{tI#S`Jt?x9B^Z=u_enBnF07)e8!6AKdWJzK}cq1Y`} z{|9>D*xcXHL&E*MppE1up=jQPEvM{e6e8{}pV}!M8#ucG-O3}I6Leahw7>LbUfXyNeW-=M`1e-??+VT~nR@<@YV zt1}886}YsU-LT5UDIZ#=7p1yw6STifB>dsy@R;H71I3Cx3t#1NyVGVyhz!D#7u^1# z8_xB6q)qq8B)4O)%Gi3U=VtSJi?5$7bJJb?#E$$pM@vTzq5k0y zyuz{6zu^^&A^p7kHYM@g800;t$YqQw`Lng@9=A8z#}DVa8N;xXUV zBBiIF)Z#wzd$YJU9FV>22(_Hs+EEPo)d@-EdHE=R7js(D_3yZbXj$YuqQ#!vbow}ZD`qb=Py!4 z3Z+Qh4>7lP9-}0jsoLRtz8N*3WY_rz5yA20zY)# zYMxf{3n?;gDD`MKqN=q^=mff## zY|~Yzqv`gmuTuJoJa5-jbvd-(HH`O-pNSdav7fOX-I6kCxxXL@^GU+KTw{Nn*+*xi zCk~kLFNWyLk{=fP7_D3w5p(%sS7r0osKzNo2dVBYzeTv(%ikHr{p}F}gZpJSIAkus zEzfH>bs{sNx=wCrCw3%~IXfPDk|9FVinI?a2|uHyx`XTFQaO3a;@8(NrY0C|*0v9# zY!Iw}b|Cl8J^3f6*Gz_+t&Wnni9Nb3aV?#3J+zz1f91*%yYmT!v1ieTJ`{N^m37H< zT<)Kwv;Wp=)RX>FnY-s7l|9Xjt=xBR0cP9`DN&BpS9#2k;>UK}R8cj1A(DjnXNUg+9GW;7eZnSj?p z?_@i79$nm+{HPG=mMb_Dr~G92b{|I4{dx!eq0pF9fmXU+eeRZ9iX!3`?NkMK0iATL zY7tXQ4H`1ultw+w*su(@Bmp03Dj#|2__-!-y(Mw^#_>cy(a+~mhgebT<1b*wm}|XV znk`8?TE;fzzIl-%>$FoS;^*;>3_slEFy@BRKO=4~R!T0Om z)YxymeY}IAOKgAMTOQs2Ij&%6XnKarWvgTKA7uEq796AY^NHK?u$h1Xun$uwYQ!%@ z;Hrl-t1gv#ysFAGSmYlz^8)hK)NI+gLY3t@9G9SST)MydDnxtUN}8>|p?wRZ*OR$+ zQ(8b>SK|_94Hm;$Y+T=^nOQAZw}p3!YnohTHMHF6(Da74o0H!)U)Sh4R9fWbqLo=4 zGsb(naKXmVe@g4Z%xk8d+g_xtR-}m>coR0doI=z%BXrGv%XK+%53N7385ifVV$w+fs;_dv!ZF=!h}VvB5%RKoMi z!=Hr9lH5XC?&y~)dV~h&+Ic?7+9`5NhOlr{dGy5Da-oupi|(EHq#pd$B<2nNs^Dh3 zj@j&-@_W+@D|V@V`JF4wkLFjO%A~Wir63Qvow~u_4x}GJUebf=2PaBF4wIeeM4*fq zAo&O9K{YiIC__&-H#(f61gS(v2v3AizH~oNA6E#RzfnF6kRxR6@`1<%2<6XkrTZ~y zK7MqFtOlW|5NaEQqJhjP213z61-f{G3N-CNpu8XqaP(0OFb8-=4rw3+h))SOOs4is}ux{VqBL%Fcfq zi=DED6W6%;6z-geH+s6VJb+B+veba^`IyI#hd(_g4bIt;u|SpvR9{oNr@IFW<_jhm zZdr@P0il4DtUD9JVFB}h$M3JCf+RP{se97&817zl2n~|U)Ic_Y5C(_Gf@Cy{?!5uV zJC}j~MP#T=-w6AGkNNM#5h9|||33=i|8*W5I6C6N`r*MzfP^DKL>v)8CZHiT5*os) z5g;5H%`OB$C)^JA#}P4eHBJrg|DOtCz8wep!UdjVz7TL2pwqAbNO(}dL}U`ArUu@D zLr(z6)!;(FLRc~Z0SZJU5Fr8qOak;&4G;Q~00C(54A*cw4is?1Kn;wC=OmNJpq_)l zHJcfn8Un6iB4DQALd4+MV+nxqxj8^zfS3J@!vjH(*oB}*X4hn3JBR>I#9#q~b9nIp zF9Cc+I5IpA4-r630>Xl8cEQ5^h-@LiHH@FVXs`eQ4M4C67$*UYVGCuRIJjnO8jJ}K zzo20e!DPd%!8IuCb%D{%6$AmW$Q}vH_xl4NaBd1L;23U!sRESGtHJzo&DUVb5c7nv zsluyaV7A%h!+P)&sW4A$WdaHXkNP$XOcWZ8V7GmpfJ`Jq^95FfIURuY;OD}YHP8!K zCw?eweSmdhzOZ%Wt4_fB@MD4LV!wkqVBMH6Fy}-x0jwdQ71n`26fy~{39J`j^ZT*P z>%@Ew3k9q@f?dPUKNR*_&$YvSz7<$6;8lg|@A29nT^cF`M902^vJJwpuf@P-fgKqG z_fqH(SWkAVCxnF$J^;1@0!zz&@`AAJ4HN+^1z2V_%m>1kX+=U-K2$-?C9rgRIB@_+F1D+VAhn?^CZR7pD+YcoCU^u?x{lUI}2mUC1GeGPd zTi@H+fO(Ho-;>3(q5B#5c>4l#4r~qy*diYsUfa+!}*LH_^4& zf8k@?S?f!)w~_0s|2uzMJCG)cf(!f_3z0J1wa9xddgy=e<6Yzqo$`&tqwbj6q84h? zwkXdtwI^;P+w>2RV5{S$3FH4;|8@!DP8eFBt*ZO)sN!i-t=T&fpVHI&x3=WG3>OI3E6C?l=jEv= zRUL*T-i`hbG{nkPnqSXuJR1^pANk6)xP6CPpwiVmXI!mDy7&8Il27Zsayl9(t=t?d z+@f}2yGIGQ^5m`!1?hb!8;k!{L#`S0s&Ti9%e|?U%cyMFIqV#Dv=7{OL1Jos&IC(t zi|W;%!rnqwMNZJ8CHM06+!Mmhh?SCu?Q)Kl!3J%W0>)#pU;q5BsbHAMUVNHOzd};m3%gfsa2p<$<%A zxu+`}(*X_ye7FMfj=8hy+?D+Ar$yl0ea}xAfa~8ZPalRMd;@=#p*j|gh5zuty8|AG z3L2|~Mk^^JQ0#sn+_DP9SbV*FSYDpnpa7gIUKQ;}!>oZkSS(+rItu0etyR^>&mD{d zktkPx8n}o4<3L|mH)tD`wgZGvzE7h3!_hd_-+Y08-{?c* zV6W~M7#fQPKF+WG(7@aI8Ac|;@w}g5Bn*h!{Q?8Avfp6@kn#Mb9~rFkuP_`Dc$L5O z!=rzv8ISvYK0Fab3Vt06d&-iUC7;Maa+5Q_Q*riKNf&tG9U*yV(O-*^K$2_ka8!mzMIJl~Jy zNA>ig`?3H3j2XiXIJNK>3jAh@j}QD|&$&vTL3Hpz%y~joKNkBF0Wnb$5uvDPWNnQ2 EKhiZ&+yDRo literal 0 HcmV?d00001 diff --git a/test/unit_tests/arbiter_handshake/run/test.prs b/test/unit_tests/arbiter_handshake/run/test.prs index 02d06ef..dfef2fb 100644 --- a/test/unit_tests/arbiter_handshake/run/test.prs +++ b/test/unit_tests/arbiter_handshake/run/test.prs @@ -1,3 +1,70 @@ = "GND" "GND" = "Vdd" "Vdd" = "Reset" "Reset" += "t.a.in1.d.d[0]" "t.a.in1.r" += "t.a.in1.a" "t.a.arbiter.d" += "t.a.in1.a" "t.a.ack_cel1.y" += "t.a.in1.d.d[0]" "t.a.arbiter.a" += "t.a.in1.d.d[0]" "t.a.in1.r" +~"t.a.ack_cel1.c1"&~"t.a.ack_cel1.c2"->"t.a.ack_cel1._y"+ +"t.a.ack_cel1.c1"&"t.a.ack_cel1.c2"->"t.a.ack_cel1._y"- +"t.a.ack_cel1._y"->"t.a.ack_cel1.y"- +~("t.a.ack_cel1._y")->"t.a.ack_cel1.y"+ +~"t.a.ack_cel2.c1"&~"t.a.ack_cel2.c2"->"t.a.ack_cel2._y"+ +"t.a.ack_cel2.c1"&"t.a.ack_cel2.c2"->"t.a.ack_cel2._y"- +"t.a.ack_cel2._y"->"t.a.ack_cel2.y"- +~("t.a.ack_cel2._y")->"t.a.ack_cel2.y"+ += "t.a.in2.d.d[0]" "t.a.in2.r" += "t.a.in2.a" "t.a.arbiter.c" += "t.a.in2.a" "t.a.ack_cel2.y" += "t.a.in2.d.d[0]" "t.a.arbiter.b" += "t.a.in2.d.d[0]" "t.a.in2.r" += "t.a.supply.vdd" "t.a.arbiter.vdd" += "t.a.supply.vdd" "t.a.or_cell.vdd" += "t.a.supply.vdd" "t.a.ack_cel2.vdd" += "t.a.supply.vdd" "t.a.ack_cel1.vdd" += "t.a.supply.vss" "t.a.arbiter.vss" += "t.a.supply.vss" "t.a.or_cell.vss" += "t.a.supply.vss" "t.a.ack_cel2.vss" += "t.a.supply.vss" "t.a.ack_cel1.vss" +"t.a.arbiter.a"&"t.a.arbiter._y2"->"t.a.arbiter._y1"- +~"t.a.arbiter.a"|~"t.a.arbiter._y2"->"t.a.arbiter._y1"+ +"t.a.arbiter.b"&"t.a.arbiter._y1"->"t.a.arbiter._y2"- +~"t.a.arbiter.b"|~"t.a.arbiter._y1"->"t.a.arbiter._y2"+ +~"t.a.arbiter._y1"|~"t.a.arbiter.c"->"t.a.arbiter.y1"+ +~(~"t.a.arbiter._y1"|~"t.a.arbiter.c")->"t.a.arbiter.y1"- +~"t.a.arbiter._y2"|~"t.a.arbiter.d"->"t.a.arbiter.y2"+ +~(~"t.a.arbiter._y2"|~"t.a.arbiter.d")->"t.a.arbiter.y2"- +mk_excllo("t.a.arbiter._y1","t.a.arbiter._y2") += "t.a._y1_arb" "t.a.arbiter.y1" += "t.a._y1_arb" "t.a.or_cell.a" += "t.a._y1_arb" "t.a.ack_cel1.c2" +"t.a.or_cell.a"|"t.a.or_cell.b"->"t.a.or_cell._y"- +~("t.a.or_cell.a"|"t.a.or_cell.b")->"t.a.or_cell._y"+ +"t.a.or_cell._y"->"t.a.or_cell.y"- +~("t.a.or_cell._y")->"t.a.or_cell.y"+ += "t.a.out.d.d[0]" "t.a.out.r" += "t.a.out.a" "t.a.ack_cel2.c1" += "t.a.out.a" "t.a.ack_cel1.c1" += "t.a.out.d.d[0]" "t.a.or_cell.y" += "t.a.out.d.d[0]" "t.a.out.r" += "t.a._y2_arb" "t.a.arbiter.y2" += "t.a._y2_arb" "t.a.or_cell.b" += "t.a._y2_arb" "t.a.ack_cel2.c2" += "Vdd" "t.a.supply.vdd" += "GND" "t.a.supply.vss" += "t.in1.d.d[0]" "t.in1.r" += "t.in1.r" "t.a.in1.r" += "t.in1.a" "t.a.in1.a" += "t.in1.d.d[0]" "t.a.in1.d.d[0]" += "t.in1.d.d[0]" "t.in1.r" += "t.out.d.d[0]" "t.out.r" += "t.out.r" "t.a.out.r" += "t.out.a" "t.a.out.a" += "t.out.d.d[0]" "t.a.out.d.d[0]" += "t.out.d.d[0]" "t.out.r" += "t.in2.d.d[0]" "t.in2.r" += "t.in2.r" "t.a.in2.r" += "t.in2.a" "t.a.in2.a" += "t.in2.d.d[0]" "t.a.in2.d.d[0]" += "t.in2.d.d[0]" "t.in2.r" diff --git a/test/unit_tests/arbiter_handshake/test.act b/test/unit_tests/arbiter_handshake/test.act index b4685d0..54cb74e 100644 --- a/test/unit_tests/arbiter_handshake/test.act +++ b/test/unit_tests/arbiter_handshake/test.act @@ -33,9 +33,9 @@ open tmpl::dataflow_neuro; defproc arbiter_test(a1of1 in1; a1of1 in2; a1of1 out) { - arbiter_handshake a(.in1 = in1, .in2 = in, .out = out); + arbiter_handshake a(.in1 = in1, .in2 = in2, .out = out); a.supply.vdd = Vdd; - a.supply.vss = Gnd; + a.supply.vss = GND; } arbiter_test t; \ No newline at end of file diff --git a/test/unit_tests/arbiter_handshake/test.prsim b/test/unit_tests/arbiter_handshake/test.prsim index b17b095..44daaea 100644 --- a/test/unit_tests/arbiter_handshake/test.prsim +++ b/test/unit_tests/arbiter_handshake/test.prsim @@ -1,42 +1,39 @@ watchall cycle -system "echo 'reset done'" -t.in1.d 0 -t.in2.d 0 -t.in1.v 0 -t.in2.v 0 -t.out.a 0 +set t.in1.r 0 +set t.in2.r 0 +set t.out.a 0 cycle status X mode run -system "echo 'step 1.1 finished'" -set a.a 1 -set a.b 1 -advance 1000000 +system "echo '[0] reset done'" + +set t.in1.r 1 +cycle +assert t.out.r 1 +set t.out.a 1 +cycle +assert t.in1.a 1 +system "echo '[1] test in1 done'" + +set t.in1.r 0 +set t.in2.r 0 +set t.out.a 0 +cycle status X mode run -system "echo 'step 1.2 finished'" -set a.a 0 -set a.b 0 -advance 1000000 -status X -mode run -system "echo 'step 2.1 finished'" -set a.a 1 -set a.b 1 -advance 1000000 -status X -mode run -system "echo 'step 2.2 finished'" -set a.a 0 -set a.b 0 -advance 1000000 -status X -mode run -system "echo 'step 3.1 finished'" -set a.a 0 -set a.b 1 -advance 1000000 -status X -mode run -system "echo 'step 3.2 finished'" +assert t.out.r 0 +assert t.in1.a 0 +assert t.in2.a 0 +system "echo '[2] reset done'" + +set t.in2.r 1 +set t.in1.r 0 +set t.out.a 0 +cycle +assert t.out.r 1 +set t.out.a 1 +cycle +assert t.in2.a 1 +system "echo '[3] test in2 done'" +