From 72bccc295b6a6bc88c7e6b9de46172aec100cf9c Mon Sep 17 00:00:00 2001 From: Michele Date: Fri, 25 Feb 2022 19:16:40 +0100 Subject: [PATCH] started token buffer and token fifo. conmtinued arbiter tb --- dataflow_neuro/cell_lib_async.act | 2 +- dataflow_neuro/primitives.act | 40 + test/unit_tests/arbiter/run/test.prs | 3 + test/unit_tests/arbiter_2/run/prsim.pdf | Bin 0 -> 7478 bytes .../arbiter_handshake/run/prsim.out | 78 +- .../arbiter_handshake/run/prsim.pdf | Bin 10641 -> 0 bytes .../unit_tests/arbiter_handshake/run/test.prs | 2 +- test/unit_tests/arbiter_handshake/test.prsim | 33 +- test/unit_tests/fifo_t_15/run/prsim.out | 154 +++ test/unit_tests/fifo_t_15/run/test.prs | 922 ++++++++++++++++++ test/unit_tests/fifo_t_15/test.act | 43 + test/unit_tests/fifo_t_15/test.prsim | 48 + 12 files changed, 1285 insertions(+), 40 deletions(-) create mode 100644 test/unit_tests/arbiter/run/test.prs create mode 100644 test/unit_tests/arbiter_2/run/prsim.pdf delete mode 100644 test/unit_tests/arbiter_handshake/run/prsim.pdf create mode 100644 test/unit_tests/fifo_t_15/run/prsim.out create mode 100644 test/unit_tests/fifo_t_15/run/test.prs create mode 100644 test/unit_tests/fifo_t_15/test.act create mode 100644 test/unit_tests/fifo_t_15/test.prsim diff --git a/dataflow_neuro/cell_lib_async.act b/dataflow_neuro/cell_lib_async.act index cd2c309..308a8b7 100644 --- a/dataflow_neuro/cell_lib_async.act +++ b/dataflow_neuro/cell_lib_async.act @@ -521,7 +521,7 @@ namespace tmpl { [keeper=0] _y2 | d => y2- } spec { - mk_excllo(y1, y2) + mk_excllo(_y1, _y2) } } }} diff --git a/dataflow_neuro/primitives.act b/dataflow_neuro/primitives.act index fa74b88..df5b435 100644 --- a/dataflow_neuro/primitives.act +++ b/dataflow_neuro/primitives.act @@ -350,4 +350,44 @@ namespace tmpl { ARBITER arbiter(.a = in1.r, .b = in2.r, .c = in2.a, .d = in1.a, .y1 = _y1_arb, .y2 = _y2_arb, .vdd = supply.vdd, .vss = supply.vss); } + export + defproc buffer_t(a1of1 in; a1of1 out; bool? reset_B; power supply) + { + //control + bool _en, _reset_BX; + A_3C_RB_X4 inack_ctl(.c1=_en,.c2=in.r,.c3=out.r,.y=in.a,.pr_B=_reset_BX,.sr_B=_reset_BX,.vdd=supply.vdd,.vss=supply.vss); + A_1C1P_X1 en_ctl(.c1=in.a,.p1=out.r,.y=_en,.vdd=supply.vdd,.vss=supply.vss); + + //function + bool _out_a_B; + INV_X1 inv_outa(.a = out.a,.y=_out_a_B,.vdd = supply.vdd,.vss=supply.vss); + A_2C1N_RB_X4 buf_func(.c1 = _en,.c2 = _out_a_B, .n1 = in.r,.y = out.r, .pr_B = _reset_BX, .sr_B = _reset_BX,.vdd = supply.vdd,.vss=supply.vss); + + + //reset buffers + BUF_X1 reset_buf(.a=reset_B, .y=_reset_BX,.vdd=supply.vdd,.vss=supply.vss); + } + export template + defproc fifo_t(a1of1 in; a1of1 out; bool? reset_B; power supply) + { + buffer_t fifo_element[N]; + bool _reset_BXX[N]; + fifo_element[0].in.r = in.r; + fifo_element[0].in.a = in.a; + fifo_element[0].supply = supply; + fifo_element[0].reset_B = _reset_BXX[0]; + (i:1..N-1: + fifo_element[i].in.r = fifo_element[i-1].out.r; + fifo_element[i].in.a = fifo_element[i-1].out.a; + fifo_element[i].supply = supply; + fifo_element[i].reset_B = _reset_BXX[i]; + ) + fifo_element[N-1].out.r = out.r; + fifo_element[N-1].out.a = out.a; + + // reset buffers + bool _reset_BX; + BUF_X1 reset_buf(.a=reset_B, .y=_reset_BX,.vdd=supply.vdd,.vss=supply.vss); + sigbuf reset_bufarray(.in=_reset_BX, .out=_reset_BXX); + } }} diff --git a/test/unit_tests/arbiter/run/test.prs b/test/unit_tests/arbiter/run/test.prs new file mode 100644 index 0000000..02d06ef --- /dev/null +++ b/test/unit_tests/arbiter/run/test.prs @@ -0,0 +1,3 @@ += "GND" "GND" += "Vdd" "Vdd" += "Reset" "Reset" diff --git a/test/unit_tests/arbiter_2/run/prsim.pdf b/test/unit_tests/arbiter_2/run/prsim.pdf new file mode 100644 index 0000000000000000000000000000000000000000..f7c99b92874b142b243f608e1d61ced05df9f7ce GIT binary patch literal 7478 zcmcIJ30O>P*rCK!XcJv=5-pbI%s$hmebu6pP-&VO)3nS?v!qBWl}hLqB9-M@vu~x4 z7SyFh2}N9z6v9@=CdeGS{A%X`po(R@En$JS8Hgpj^jK@YSEHErC6CzSaEU=3bIe3Za zA{IiFF0$uwMF>H9?LilbSOP9Wg0~o~hk(cMVu_HIfR3#_*q;?8La}VP-UhJ3;(|MxbOQ$g zPs~T4m^NMr)|M5?VX!dUsf+JFm33y1%1FjXxROiVO^27oL&?QtBkX@=vsNNBZgnY>ux95ZbT_ITeFrZ9Z z7K6tGx?jxVvPHoN4w}dlE)lp;ZsrvAe_@r9|9Cny`Q~o- zKcmn4<~~_idg)$?t?H31lVT4a_qY==e{+_{`o$7T^Y{KffBL)173n>(Cz-Ju!b|bj zc5T{LHe{Z7<%Wa&$_cSi1E1>m?hV-RXV>NzUFJO@?I+SIi!@euxWB8ZWY=B!a_`ED zfZZE(TPmLDoh{3rdsjQ;{1!|1x@=`d=C*el>+hjuuL^D-if_2DjSx?idrgu*b7E)A zg&SrI<3b&u+#K()H(WpKxwFOg_Ax#&f3*~=_^PWbwRh(@AMo7L*7^9A($aY=Ov*gH z;#%A{H#SvTu*1{sTjbGunYomp(!hOdLftOvQ_RyAR6kL7UEHnBs688|apt(n@AsTq zydTUEeptTeP}$}If+!-3?>$MgE33!OOVjYIQ&KPT$vw9b%gtD!S^x3e*_1rC+u#o+F-gYN*)dkglJ@RGK*4>gjacW@k#@(-vs!pDu zkh?;e=-`{X;=+aAlG!uO&pCT-%4piDa7#bVq9{hSM`2yik>TANo z3fjeK3$I>Rh*T)=sx8xMkGk8uBYc?wn?CPEnxTThjQTDsd zZc}Q%AGlIkqssF5`GqT-P0HHqeqEX~{@MWt{#3&~a^oL-u&dEZ?^4YTX#2Fpv9s2a zkYs!{q6R}f=yY5DS(pYvgLrxM;Jfw`IV_OXny(Yf_iRCyL8LYZdvT z%c>LSwpa8yGcWXa=Q;XqjM!j=4`}T3nX~=u=0~9s8w)pEF$5cfPYGIrb$V+Ll6HnJ zUTHwd7bKPHOx&^eR^Qq=#yurhXH8d$-e8q!XW7`7%p|_();zW(;zpv%k%`N?0~_d* zHU*#9XmG#Fau)MOw_wuw)cQw}$xkCx>$=m+{V3h(C@#7vl&!4w@B-l$N6fujrxA6G zt+J(L*^EOrhFv9l3|$ZP816afkUCJ3r<$yOCg;&~dU|}F(3FAp`eJM18Lr&}>= zTkD9_npqi5}@r}DZoEZx+MXA=?tDgAt8Pc8 zz#=+wvC^%$^%YC=*L|6N^ZH@J#9c5m5nWR>lS!AT~63Z}YH zlQRkp0s_JfFK4ZdsqZzpp_6%I;4S8D?xKg`Hz>>mWnv(6IW4*FvVqMN#bkz~ zs!3R<`sewsa!Wgvql?4!6I1@)yuUiUDD?)K;SBJ?wKHC>p1xIAH_>gb`s`nuVNqmX$SS{H-xz?6Eaox71#p zJ}gjHIjuf-vG%iRYStAeX7YShH6oI3x*{I;bnB!D! z#6D5!PI)2n6?!a2p6C4@;?!F>;+40!zuMJ+~Yl zJaWl{v>v$-MpD(O8D1^3lH`(n9$)Wse#{EGvUs{_XVduijiDdpO42pVO2=dBEv+vx zhTgd%J<*TWJ9b-qP|uHEdrf)jq|~L&Gn)LDRCAV>UMWTc*0Sk3#hcOCZCUz~3(;pa z>4kUrK__1au95OMf2_~yKi#&P2}>TO%^S?^@^7L(vCXraSOPx zyZuhnu9^CW&9uJyf^#-?AEzYGbep%RJw}n9SZ-7x|0}?WcUUrU_RDn>DwC||S+&PZ zrZVcURcS7>ujLkITU-zlm)~%!u^%nNr_$|6Wal-(4+alwd zls}Fpw{5I%FdIA*^ZxOt?pd>Ps){xuQNdvvNt@2OwN5Nu z_cj{;B@^4pzP4d-`QVs@-t$#C&s#RXx{?x{u)qKK3!XPE`yworhI93B(Im;?Fxlg1 zB!aAx!ogbR-&6nulsGE=n`fG4R-(!_>+%w`s?+}X%@mhCd zu2$`o$;@K!ezzrWy6+H5-#AY+S1Wwx`*yu)&e<;QJ=8a`@9gj2N8(Y21;Pu?V zRxf=!HKtpkFL(33{I~lJ4-1op(~(y#X~~`)LCcPBP&VZ)z_u)?-d>}Gw?E>VPmQXM z-ICKAz2jxn-($jhgr+~S#>g7>pGq%0fig<*c1xTD^18~GKhE!sKUOjSeZE`mv7VvO z1q3Izsez$5PJH~rs8rqiWi|2G;uzOXVhjcU=)FnHOuqXiYj}v#B6c;LO#66~$-ey)ZWkJ-nCzZ&Ad(&t^5;(7*?YwdQdR$Ex7m?Z&j(MS zub0fpY-B4wj?n+jb*KM0T5)v28J~Hy6pMg{n~ASP1@Vk44+?wLIZuoGRy|X+SUVXnb#Z3ET%bmZbTBqr1Im%tHd66)&BX0S4 zOYH+x#Z&s5=Bvov>{QYJkuZK_iT_XL!clOeD7$93PO{dWvhic?0WEZY&$w+p!G2wT z7e&4wXiMmI(X}~JIZnr+&E6rot~2V)@3=`K*C1%_^K-Q%Fq|7@3%Rl=JOm0Htm4~FjIk@kwSVq-7g^=~>P{&-gplUu#jL-`lJ zLi1hoNv&#i?{f67c^ggNL)|{vTkF?zEfbTD9tz6#S{j_*=41YDb3pgNnDRc;&p(lQ zWMB4AGL!J5L?)1csy*&p^u9yFlb50-`Ex3@i#0u!i=V#no1i{tZ>56QM0)%|;gos8 zOO0~g*^_mCLK}8~|0XU`M$;yxpH(eGCyZNn_|62fZ{WKu7rQ>5O^n+(tj^@@1LZ4J zN)q(=;$vSL^!$sB?6Mhk3C|)5RypGA&5!Cme^TXMyox_nZT*k@;9v(S#EF_W%2#!J{A~-yO0Q*CTV#^XT1RTDICjgBjSO@w-IPFRo2{@A8(ilad)xp27 zS77O4`Yx%ipOC>-&|l5pqDmpd2d^GK&5efcd}fL%>lHBCsEk zj3QJ56(JMI7#ayhC{z@|Q%DGb22KtDkN}_XIm9QBancc_8G!#!fRQ~X09*)g4;hdM zI0T161yG3qz(zDGLZN_ra5_N(#3>L!q`=ci7yt;FL`Fy?unF)}3K8H^0Rt$wh9i7V z003$h3Rn;Kq)};Ll+M9XA`F3ofg@xB3I%}-JPocT0l}qv09-&jX1awK@B_hz^IwC>>BNZqMjuHR|FHjj!32=n;B}Rh^1Uvx6VjxWt zSR+x2j5#<;JPlGJ!W$H-2(k@DgChWmT_8CrU`WF@`?{6%0x&4u0uLkx%Sezbz`4u~ zWag5MfLn}=5y=ijkgI^$6851VeBB>nL761Z1RM(9f87N#g`ybAv*8VBWEvs^=m=6D zfPOFfB@o?<|bfM=E9_%mE6WgVh{G$C0a4hOlJ zNCs)kLjcZD8Ish8N+kVhNi!Vbalq?jho9i}l349)mXjP9%W|^pP#7!CBajpj`Rg%h z_x%RWa}N!>2FKlMYJ8W1W_!(Jsm*uGVwA_e3vIiIiJI}Gs>68OHiJ(si}ntf4e^Ut z9Wlriop{unnDvD=#LJs0_uihUwePoLIh|UC&R}Cp2jLi#9Hl!AesNnn#)|%FdkxnQ~v; zs!CklqwL=Pr^@^pwPC|SJ^%8lwEM2Qo5}s~wG~d;pT*$s;Fs)(m;aeD{}=k968dq| zt4hq@MXdRMa;Q-}^l151>K*^Fs+PQ2@QtTXak7v#vhcUziNTu>!j%h$39DwwhZav* z%zpctAsi8l@FU}$4fs$Y;_$e(@Y|4~tqC5*!~X;v4JDzJ`6%81MGcHFSP32|hz-N( zB7PW86vhcc2*x;L`~oCcB;uQ3vELpT^8{?L1eEzqF#~)o8M%hfT#W?L8KEHbO4l2W z;4DhOT8#l!7zqPvq~RYZfk+@>tVX`h!E^zC2v;^ohIPSrb0i{2VL!}))b{-xi3&0Y z{C(SxL`HwaBSSlk#zRpM$G)E(8F9aeeNxsJ;!&E(bKQj48U6Coo z5o<*PIwy=JkbK~D;;!Z)5=RAD-jm0J{w+-}oV6_AAkyqX7l> cause: t.a.arbiter._y1 (val: 0) ->> time: 16472 - 16472 t.a.arbiter._y1 : 0 [by t.in1.r:=1] - 81838 t.a._y1_arb : X [by t.a.arbiter._y1:=0] -WRONG ASSERT: "t.out.r" has value X and not 1. - 81838 t.out.a : 1 -WARNING: weak-interference `t.in1.a' ->> cause: t.a.ack_cell1._y (val: X) ->> time: 83564 - 83564 t.a.ack_cell1._y : X [by t.out.a:=1] - 83603 t.in1.a : X [by t.a.ack_cell1._y:=X] -WRONG ASSERT: "t.in1.a" has value X and not 1. -[1] test in1 done + 10582 t.a.or_cell._y : 1 [by t.a._y1_arb:=0] + 11605 t.a.ack_cell1._y : 1 [by t.a._y1_arb:=0] + 11847 t.a.ack_cell2._y : 1 [by t.a._y2_arb:=0] + 11886 t.in2.a : 0 [by t.a.ack_cell2._y:=1] + 13331 t.in1.a : 0 [by t.a.ack_cell1._y:=1] + 75948 t.out.r : 0 [by t.a.or_cell._y:=1] + +[1] reset done +---------------------------------------------------------------------------------------------------- + 75948 t.in1.r : 1 + 75963 t.a.arbiter._y1 : 0 [by t.in1.r:=1] + 76454 t.a._y1_arb : 1 [by t.a.arbiter._y1:=0] + 76467 t.a.or_cell._y : 0 [by t.a._y1_arb:=1] + 76507 t.out.r : 1 [by t.a.or_cell._y:=0] + 76507 t.out.a : 1 + 76922 t.a.ack_cell1._y : 0 [by t.out.a:=1] + 76942 t.in1.a : 1 [by t.a.ack_cell1._y:=0] +[2] test in1 done +---------------------------------------------------------------------------------------------------- + 76942 t.in1.r : 0 + 83003 t.a.arbiter._y1 : 1 [by t.in1.r:=0] + 83050 t.a._y1_arb : 0 [by t.a.arbiter._y1:=1] + 83066 t.a.or_cell._y : 1 [by t.a._y1_arb:=0] + 127164 t.out.r : 0 [by t.a.or_cell._y:=1] + 127164 t.out.a : 0 + 140888 t.a.ack_cell1._y : 1 [by t.out.a:=0] + 140892 t.in1.a : 0 [by t.a.ack_cell1._y:=1] +[3] reset done +---------------------------------------------------------------------------------------------------- + 140892 t.in2.r : 1 + 150021 t.a.arbiter._y2 : 0 [by t.in2.r:=1] + 150036 t.a._y2_arb : 1 [by t.a.arbiter._y2:=0] + 193284 t.a.or_cell._y : 0 [by t.a._y2_arb:=1] + 230215 t.out.r : 1 [by t.a.or_cell._y:=0] + 230215 t.out.a : 1 + 230270 t.a.ack_cell2._y : 0 [by t.out.a:=1] + 281923 t.in2.a : 1 [by t.a.ack_cell2._y:=0] +[4] test in2 done +---------------------------------------------------------------------------------------------------- + 281923 t.in2.r : 0 + 311703 t.a.arbiter._y2 : 1 [by t.in2.r:=0] + 325552 t.a._y2_arb : 0 [by t.a.arbiter._y2:=1] + 350364 t.a.or_cell._y : 1 [by t.a._y2_arb:=0] + 364707 t.out.r : 0 [by t.a.or_cell._y:=1] + 364707 t.out.a : 0 + 365129 t.a.ack_cell2._y : 1 [by t.out.a:=0] + 413843 t.in2.a : 0 [by t.a.ack_cell2._y:=1] +[5] reset done ---------------------------------------------------------------------------------------------------- - 83603 t.in1.r : 0 - 83603 t.out.a : 0 - 83618 t.a.arbiter._y1 : 1 [by t.in1.r:=0] - 84109 t.a._y1_arb : 0 [by t.a.arbiter._y1:=1] - 84122 t.a.ack_cell1._y : 1 [by t.a._y1_arb:=0] - 84162 t.in1.a : 0 [by t.a.ack_cell1._y:=1] -WRONG ASSERT: "t.out.r" has value X and not 0. -WRONG ASSERT: "t.in2.a" has value X and not 0. -[2] reset done diff --git a/test/unit_tests/arbiter_handshake/run/prsim.pdf b/test/unit_tests/arbiter_handshake/run/prsim.pdf deleted file mode 100644 index 2dacaa1c0c992a7e1db463edff96d83a2499fb6b..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 10641 zcmd^l2{e?^`*7KfHIhnrB?&S6MzSlRG$>`MF$N4v|@SFtmz!c9^f%H1?$uOIS|s!3*a>TRU7@P z%^*hzY3)vRVKChw!o+mD4bC2P8V4eB7oQ>rJ)HpqCexe4hR~=93XA|XbSCH^Ppq+q z6 zsR>htCy*V4H1!0+HKx+C;TP$N9nMz*&ws|ah z$%AK(jz9ePj;8mRUZF$_Ef=9;Md}TuUOn%%EvwBZ6j?Qmq1=D;L;7cB&hze3i9%gP=BbV!KL$CYA3vV&exqFd;*yd= z%@uWJ<_eN-BvG$)xGikBX2|*b{^fSn25*vjsXm?=;kg>8BN|+yTLO%4U&f-{ybEs2 z9~(X{qMGiK(krQvY%bnli(>8c>E@f)c}e-?!fQlHX>7w>%NElOGWV4Nw`O?qd@5;j zx#y4SY;)c{uxt&@3o#I=lPTPjVrhE_qpjq$MB1rYeEA*COv26=$<5Yc(qCfB-*$ek z;oIivo9dFDXc#N2t+R)K%CGM9NH;#^HL&VYR&?0yq&~(S^D|fFwUJNzRl@5H65i+a zlgxNmU8npoS$IrpbDFNjrDpnt3YVy21s>dBoKbu3sPtk7U$sp*NT6>ai8o}$m!;8} z87o!9&%QixS~e{xKsN7~5I<*$S;fUB%$C)6l84=$g$;r;R8B8JkiS?zJ8E%RX`bz( z`u@mz&G;IRS5(u4^Y`NPWMUSDMkYta6SC6-b>w7|RfN`IJkXdA<{COdI@N~)b2dh_ z^)7pr4wJnmW?SZY739&Doqgr*IY}!$B$6V%TWawdu|f)M15%<|E$Pi&3hJ>dGAxso z84i3#nFaZo;pQ)_nh$PP_Cz*VeY$xh!MMh%Ed=Nwk$DJkAn{Z?88Ha}wVsDT7kk$iMl`drC&GZMgNR3(LF{s__xx zUfwM6O<9I9juMo^;{Cz5Uub15wRf(0!sE*EacVBho!2QSo1!2PY#Kb4DYzyq8~yOb zN2~s2jl8)9Mp0!AeY;6J#T!BjMZy-B1xNJkTN{YtSC`%A7dPzoVu|L(fjJE;cn0$p z+;-->ze~Zxrq-z2{8M3%%7drksj^-pDaP-*;@;oyzvlV_{Z-N8B(2YE!_d7t*H#J9 zn+9v2zwMkmA}8m<=+m(rlH@%XF=%d35WBBMw>`u9;>Gma!l_NiS8GepZ{r9wK6*qZ zKD3iXm;{JQ=|`gXd@WYWw;H~XB>Ji;(ceqZB1-9Eq=5HXyT7j{Kyes@T6bkmH;(ec04RK--( zh_p8_)jU`(I7-=B*mAeSw%s--!hz6Z)P|9wf{eNxA*tl_sM$G=eW<;^x8`Dk%S z?CMWD(R%TF&%S!dwR#@>wn)n*%{M7HP|f{Ri;es~fImB=t={6}tV~k@nCaR85JpS`5j%IW%ceg@F^|ec$2cML$2>bdh`Cb16aoCHrgXiK>9C z9VecGHNL6mrR*Atj&_mDn4&!7+yk5*&Rpp}o6hLmercn-SWy^powF5%`KVy7-}&Yo z`;;+Trw3!!j$Ohcd&ckkCkDSXKyM33YSvEc?xl{slI##qO-0^~dXP8N&7qBCl$=W# zT2n)Zsczo+HCK0qJ^Jiwd1pFfag}(E>d1kA0|pQ4?(ih$k+4Y!{>?kq;JY4Jaq6_ z*W1hMXK3-vvSVv&yRQhfqMJ)KU17P@*!N^rTt2iK@#@j`u*jrI#L_O&l4rIm#MzS?R7w+FE2aN zy|in1q-?{kn9T1Yz1NAWV{1^c9sM6a7VchMcb?T|;fr6<9H2-yPhMXU`2JqbJL`1k zqK#R~$#Gsi&h$>rUWE#uXlbV6fu#j5Us=(g8@5U+CdWT|2-TsM?mc0J}|e zd~OWylO8x``Q;>kMK4_E342*I2~C;|X}IwM+-VOv{_dL|=lmyk4BS5K#DDu@-8ge5 zFIv=SbakkfWaZbkQy~H%Y1b&aiba_}fdQx%%6<0YK+xGPdt_fdCOOoyFHV}TZ z?w0%3!wI`gcntP88>XaC_aSTO)#)cY3>(KT zX{dZ!`{WRor8?+-`}L!`m$5%Pqxj5Y^4*m_c3ot)Xl*aI);4i=SH{NP(`o8Qti8R)bHvz8SN^f=%r?4zh6x5)S}=ybeFV% zj1waFVh`uf+rrUE^3#79KS+78*wa3E{>Zvq)zoxc-@N*vUGY2i_(^ZLc)7>szS7qF zdD4rIT=MiccywpkysKgns)=l+jbF0man)I;G(lTXN-qkODfdkiAGS(;dZ5l%Z(a2KO|X<#&R6!gToJEtPo>xI4D2_1 zC4IOzF}IT5Epx2KPC$2Ki8*?2Y`o!d)W zC3nY;^Io(p({;5EE5s}<onuKz9okuhn9U%_E9j+(R6+PJfHW3nw7=ABJ8rJc?Z^rqT?@itK_BUAE<~h z9A>_J8*&TEF`_^X0TPEf1Lp`wrM2Z#uzFM?ExOVKEs*ktb52)qIr-Zy#6h+kePGjC z)$r`4(f!zHwNvVWY5ogVzN;hHtH$Z}Krbki@H=PI;_LOi>f;gGuFZTarAoS7q%BEz z%giW|i{df;3g>n%-J83r?zDEFi)BNu^6|EZ8=f5>TjEo^?WxQ9gj$Zmy|I+Sh7ht$ z>1cO2LC`YlY2U!8G(#OpDU;uty3bE4p<`k1La8LT{fnNvjDHdQ8MbxlEE0rIB>!S^ z#F-X{#S~kitS;f^N*!9T9P!#!0HJosLI1{u@Df8U*|nn8^>YhEg9=qrf)Bd|B|~14 zHP8a%%g4hP8Ox{4J$kom9q$~KXAADkLJxcb{TF&j*qI8wN0tgg3Gp|bvi`1oux{z7 z?~(}r@lB{^0fn4^bR|+q>CLEM5#$LB`-{)WKBOZD*i1#LRh?H*6rTT;VF;0(JH*cu{v((-VcYdC!ZO?7pr!inlWo$9O zD_opf=Bh8;ZcTogqpPQg(EdCNukek*zwnAdlV+;lsw9dJEqd26bg^A!z`F}>3nI+V zjelDE<@3_!1tt`A{P8$7q+h(s7VA#!J&D!gyAQo$x)4;CLdJpTw)5><{(1Gr_*)gKVQ_yIm*ZP67i=7a$g>LJD10BvFj|P{cGHXN6%0mmQV=x5)=+4 z7-xD&Kk;a|;SnX-wR5WPy$Tk79kfM2e{m*BFn@WNMu>*koEL>+$+MvT*RTUkK+V8y z5=)liMGNtI;^IB;(SU%#-k~j(UrA|y}pnr^7gfs)`1 zU9BCwsWOU6i;9MeFC<2rY*}p+K-na5rzIix!@Rn}7D- zf0&HsMl%+#flyha#;1d>=bzJ(G{SnuTZQTc1;irsIa^<*N2Wn5HK-?^lj~5eA}wq2n5yh1u6G=xRmj#QLcOMTNz_>8ro~vPXk6%8rO@n?XBzknq$2Z8 zqX?||-_9aqIEQSbvd{x;O|NZ@mZTj`19rI|dg2uveYI3&k-1AgjSzTcH!^ZR=&!B( z!IT(bUpe;N-@LbB!Ncyr`*%Lvve{m9vX!Y%c>MBt`Qh$wkr#V=zkKK2w%z{lEHeC8 z4~{0zjJPdh&BTp>e;7@W6eMO#;px=S-J&@0;RZYIjv^Xw(k1I}j4+zy9`+=utI(vwJ{;&@N+IZE zNM5xWU}YaE=s2n2BlGEdv(ex?{;SHXp3lPezXl5UnOtM>V5_lex@yye#}I5$c)lxQ z_bp^7q9t7e4noq)(yI8ag{cS5uqD;m*D%)}%wcboh?xcZzs3S++|0dBH|C~z6-vnH z{TIZz{+TCg8GAOI_Xrytzo=|pCKA9yiwtdQlFL3(b!hv^?wSWGHao`V*XXMd>yB?1 zKYQkZ{FG zvV+@qcPa}`2Y{P;?sYx_X~G0o-0*Gxs#OT2F~ilB4qwEB3v+u2M}Uw%bQZ(g1p@Im z(whlx!a2LVAu=99`Y~PTEH=%XMTf{l2uX#I&JdCY?*Gvck`5{W!T=Q*+JQiNLTGT% zM>4@0poN2wEKq^PK^DRfT&ja9yTS4QSKi|{LGbOrDTBr4z(fPDiG13rV+GIfJ%5HM*VJqsTR~< zm@s%i{CcVv;C4!M2&A>2Gl!d)fzvbC$wbA3h&MA_IPO5E6S){b_~f0(^x)5cO@lKN zWDJm{5!Gico#E!rf%$?7hDS6pSRfRTcyMDwSPWqP-}wELRFKL6nIi_xfa&H*hfpAe zLiOho2%)h!3`n_f=w6#(yc2n*KSYMK`%Tz1J|^E6dx(HS{cjY+f4dGAgpN3%KOBez zNLUg?z!DH-JPIO`P!NWQhp=Q6x8MPt@Hh;QC7>s2ED?tPPX#eKjs>uAf!CNUc#vX3 zqhJA$aG-#N$RvnJ1kd0=j|a$!aKU3B3>l9A1tQ=H5FQT}0d`8n0ay|s00r7`4Uc0% z0eLhcm=CW>CXqos(FfODX0SvAT*E}bOu>bK#&YN40pk;E09b&R+s5L6AV}PTCz81} z8TbwY=qI2tfWZm8IDi)qULq_Ro`-|*pawT{+!}7%peu!iEB*2px{}*SAmH_p%C1$Uki{4WN5O$ zhA?3RupP`STv-FVfNf%0;o1Xi6O)B&E5B?4wuk8jri=Rw)_`qevcQ}ZPdtsr%aLM;a;wM90mv zIzwn~@)vds+?z3weWgP{pWIOfgn4#R5W|00${>cw+}kz>W%NiMxm&*iL`7rrZ{A7~H|#5MU5)O>K8GViRC8 z6BJC1Pws|rKHC62k=8bVfE%0GQKxeANE(m_yfD%LGYR&4>(GV=EF0qz|591`$F-k#npYac3&4%s8kfPnnzF4J@L z7-)rand2Ka8-gG;;HD>%;vmoN#h%~^o)4TKcO^eB5N6;(Z~?wL0GWFl*9&vcY`~Y# zl3D-dY~a^sL54ww6-!en?b`85J^!ll8e0I$Du0PoswM#<=l8sBvMAhB3` z4o+x)b6x(C?Vc4M_x$J^d#(53ERRiPF+xZtoyU+rE68fDI%E^{-#xn94xPU-Ci~QF zpZmq$!=H~UK7C8h-1|E0b3n9v+Lw&$r+37!mB-DN^XjAxR)N(H) z_yC@rwSD{jCF%?9uwe*+|M$D@F0uGu5iM6OLvs7B8~+b=^h>`%Y?<$TwkxEc{e2MP z?jZ1%2aY4y$yj{)IortF5A1zl$1-QQz$YExR^crNoB}3x@QKf{ruI+}@=V>@8G%oK zI1F#5G5qz_3S(^y3IqS)&?GzvE;LXWRTN594T0psfkVg&aPsi+^yYXnoS~gqEu0pL zMMJNI+<{wl)&!^?Ovyo8<=Pf%BP*9}RqiG<~9vi!0XD_ra26M7TpyA z!YU#H1W>{&%?hdT2MGRA3U=UrP=b1soY{!1R92O3=;NO&-ad6!DXCLuzyf_m1FPib_zj?!B;izjS4m<(e-2B-`h7aB|;Rq-= zsGHeG#)I?ApM3=UG#lcus0>d!i~H@Q8PgSndVp~_nxuGp!!Pzk0Ko{LgBM~VKA^HV S+*bsI#~6f)ipd63#Qy===QOzh diff --git a/test/unit_tests/arbiter_handshake/run/test.prs b/test/unit_tests/arbiter_handshake/run/test.prs index 8bc1f35..2caf5de 100644 --- a/test/unit_tests/arbiter_handshake/run/test.prs +++ b/test/unit_tests/arbiter_handshake/run/test.prs @@ -31,7 +31,7 @@ ~("t.a.arbiter._y1"|"t.a.arbiter.c")->"t.a.arbiter.y1"+ "t.a.arbiter._y2"|"t.a.arbiter.d"->"t.a.arbiter.y2"- ~("t.a.arbiter._y2"|"t.a.arbiter.d")->"t.a.arbiter.y2"+ -mk_excllo("t.a.arbiter.y1","t.a.arbiter.y2") +mk_excllo("t.a.arbiter._y1","t.a.arbiter._y2") = "t.a._y1_arb" "t.a.arbiter.y1" = "t.a._y1_arb" "t.a.or_cell.a" = "t.a._y1_arb" "t.a.ack_cell1.c2" diff --git a/test/unit_tests/arbiter_handshake/test.prsim b/test/unit_tests/arbiter_handshake/test.prsim index eb17a74..76e8fa9 100644 --- a/test/unit_tests/arbiter_handshake/test.prsim +++ b/test/unit_tests/arbiter_handshake/test.prsim @@ -1,29 +1,42 @@ watchall -cycle +system "echo '[0] code starts'" set t.in1.r 0 set t.in2.r 0 set t.out.a 0 cycle status X mode run -system "echo '[0] reset done'" - +system "echo '[1] reset done'" +system "echo '----------------------------------------------------------------------------------------------------'" set t.in1.r 1 cycle assert t.out.r 1 set t.out.a 1 cycle assert t.in1.a 1 -system "echo '[1] test in1 done'" +system "echo '[2] test in1 done'" system "echo '----------------------------------------------------------------------------------------------------'" set t.in1.r 0 -set t.in2.r 0 +cycle +assert t.out.r 0 set t.out.a 0 cycle -assert t.out.r 0 assert t.in1.a 0 +system "echo '[3] reset done'" +system "echo '----------------------------------------------------------------------------------------------------'" +set t.in2.r 1 +cycle +assert t.out.r 1 +set t.out.a 1 +cycle +assert t.in2.a 1 +system "echo '[4] test in2 done'" +system "echo '----------------------------------------------------------------------------------------------------'" +set t.in2.r 0 +cycle +assert t.out.r 0 +set t.out.a 0 +cycle assert t.in2.a 0 -system "echo '[2] reset done'" - - - +system "echo '[5] reset done'" +system "echo '----------------------------------------------------------------------------------------------------'" diff --git a/test/unit_tests/fifo_t_15/run/prsim.out b/test/unit_tests/fifo_t_15/run/prsim.out new file mode 100644 index 0000000..ed49b86 --- /dev/null +++ b/test/unit_tests/fifo_t_15/run/prsim.out @@ -0,0 +1,154 @@ +t.a.fifo_element[13].in.r t.a.fifo_element[0]._out_a_B t.out.r t.a.fifo_element[1]._out_a_B t.a.fifo_element[8]._out_a_B t.a.fifo_element[9]._en t.a.fifo_element[12].in.a t.a.fifo_element[7]._en t.in.r t.a.fifo_element[2]._en t.a.fifo_element[9].in.r t.a.fifo_element[14].in.r t.a.fifo_element[12].in.r t.a.fifo_element[9].inack_ctl._y t.a.fifo_element[10].in.a t.a.fifo_element[8].in.r t.a.fifo_element[14].inack_ctl._y t.a.fifo_element[5].inack_ctl._y t.a.fifo_element[10].in.r t.a.fifo_element[5]._en t.a.fifo_element[7].in.r t.a.fifo_element[4].in.r t.a.fifo_element[3].inack_ctl._y t.a.fifo_element[8].in.a t.a.fifo_element[2].in.r t.a.fifo_element[3].in.a t.a.fifo_element[1]._en t.a.fifo_element[3]._out_a_B t.a.fifo_element[0]._en t.a.fifo_element[13].buf_func._y t.a.fifo_element[12].inack_ctl._y t.a.fifo_element[13].in.a t.a.fifo_element[5].buf_func._y t.a.fifo_element[11]._out_a_B t.a.fifo_element[11].in.r t.a.fifo_element[12]._en t.a.fifo_element[6]._en t.in.a t.a.fifo_element[4]._en t.a.fifo_element[2].inack_ctl._y t.a.fifo_element[14]._out_a_B t.a.fifo_element[14]._en t.a.fifo_element[13]._en t.a.fifo_element[5].in.r t.a.fifo_element[7].in.a t.a.fifo_element[8]._en t.a.fifo_element[4]._out_a_B t.a.fifo_element[6].in.r t.a.fifo_element[1].inack_ctl._y t.a.fifo_element[6].in.a t.a.fifo_element[9].in.a t.a.fifo_element[12]._out_a_B t.a.fifo_element[11].inack_ctl._y t.out.a t.a.fifo_element[7].inack_ctl._y t.a.fifo_element[1].in.r t.a.fifo_element[10].inack_ctl._y t.a.fifo_element[14].in.a t.a.fifo_element[3].in.r t.a.fifo_element[10]._out_a_B t.a.fifo_element[10].buf_func._y t.a.fifo_element[1].in.a t.a.fifo_element[13].inack_ctl._y t.a.fifo_element[8].buf_func._y t.a.fifo_element[4].in.a t.a.fifo_element[7]._out_a_B t.a.fifo_element[11]._en t.a.fifo_element[13]._out_a_B t.a.fifo_element[0].inack_ctl._y t.a.fifo_element[1].buf_func._y t.a.fifo_element[2]._out_a_B t.a.fifo_element[5]._out_a_B t.a.fifo_element[10]._en t.a.fifo_element[6]._out_a_B t.a.fifo_element[11].in.a t.a.fifo_element[0].buf_func._y t.a.fifo_element[3]._en t.a.fifo_element[9]._out_a_B t.a.fifo_element[12].buf_func._y t.a.fifo_element[2].in.a t.a.fifo_element[5].in.a t.a.fifo_element[9].buf_func._y t.a.fifo_element[6].inack_ctl._y t.a.fifo_element[4].buf_func._y t.a.fifo_element[3].buf_func._y t.a.fifo_element[11].buf_func._y t.a.fifo_element[7].buf_func._y t.a.fifo_element[4].inack_ctl._y t.a.fifo_element[14].buf_func._y t.a.fifo_element[2].buf_func._y t.a.fifo_element[8].inack_ctl._y t.a.fifo_element[6].buf_func._y +[0] code starts + 131642 t.in.r : 0 + 131642 t.out.a : 0 + 131643 t.a.fifo_element[14]._out_a_B : 1 [by t.out.a:=0] + 131643 Reset : 0 + 131644 t.a.reset_buf._y : 1 [by Reset:=0] + 151849 t.a._reset_BX : 0 [by t.a.reset_buf._y:=1] + 152131 t.a.reset_bufarray.buf6._y : 1 [by t.a._reset_BX:=0] + 152899 t.a._reset_BXX[0] : 0 [by t.a.reset_bufarray.buf6._y:=1] + 152902 t.a.fifo_element[13].reset_buf._y : 1 [by t.a._reset_BXX[0]:=0] + 152917 t.a.fifo_element[5].reset_buf._y : 1 [by t.a._reset_BXX[0]:=0] + 153105 t.a.fifo_element[13]._reset_BX : 0 [by t.a.fifo_element[13].reset_buf._y:=1] + 153244 t.a.fifo_element[13].buf_func._y : 1 [by t.a.fifo_element[13]._reset_BX:=0] + 153313 t.a.fifo_element[14].in.r : 0 [by t.a.fifo_element[13].buf_func._y:=1] + 153401 t.a.fifo_element[14].reset_buf._y : 1 [by t.a._reset_BXX[0]:=0] + 153412 t.a.fifo_element[14]._reset_BX : 0 [by t.a.fifo_element[14].reset_buf._y:=1] + 153450 t.a.fifo_element[11].reset_buf._y : 1 [by t.a._reset_BXX[0]:=0] + 153465 t.a.fifo_element[11]._reset_BX : 0 [by t.a.fifo_element[11].reset_buf._y:=1] + 153466 t.a.fifo_element[11].buf_func._y : 1 [by t.a.fifo_element[11]._reset_BX:=0] + 153502 t.a.fifo_element[11].inack_ctl._y : 1 [by t.a.fifo_element[11]._reset_BX:=0] + 153614 t.a.fifo_element[11].in.a : 0 [by t.a.fifo_element[11].inack_ctl._y:=1] + 153616 t.a.fifo_element[14].inack_ctl._y : 1 [by t.a.fifo_element[14]._reset_BX:=0] + 153656 t.a.fifo_element[14].in.a : 0 [by t.a.fifo_element[14].inack_ctl._y:=1] + 153853 t.a.fifo_element[9].reset_buf._y : 1 [by t.a._reset_BXX[0]:=0] + 153881 t.a.fifo_element[6].reset_buf._y : 1 [by t.a._reset_BXX[0]:=0] + 153913 t.a.fifo_element[13]._out_a_B : 1 [by t.a.fifo_element[14].in.a:=0] + 153940 t.a.fifo_element[9]._reset_BX : 0 [by t.a.fifo_element[9].reset_buf._y:=1] + 153941 t.a.fifo_element[9].buf_func._y : 1 [by t.a.fifo_element[9]._reset_BX:=0] + 153970 t.a.fifo_element[10].reset_buf._y : 1 [by t.a._reset_BXX[0]:=0] + 153980 t.a.fifo_element[8].reset_buf._y : 1 [by t.a._reset_BXX[0]:=0] + 154175 t.a.fifo_element[6]._reset_BX : 0 [by t.a.fifo_element[6].reset_buf._y:=1] + 154205 t.a.fifo_element[12].in.r : 0 [by t.a.fifo_element[11].buf_func._y:=1] + 154353 t.a.fifo_element[6].buf_func._y : 1 [by t.a.fifo_element[6]._reset_BX:=0] + 154369 t.a.fifo_element[11]._en : 1 [by t.a.fifo_element[12].in.r:=0] + 154570 t.a.fifo_element[8]._reset_BX : 0 [by t.a.fifo_element[8].reset_buf._y:=1] + 154592 t.a.fifo_element[8].buf_func._y : 1 [by t.a.fifo_element[8]._reset_BX:=0] + 154771 t.a.fifo_element[5]._reset_BX : 0 [by t.a.fifo_element[5].reset_buf._y:=1] + 154904 t.a.fifo_element[5].buf_func._y : 1 [by t.a.fifo_element[5]._reset_BX:=0] + 154978 t.a.fifo_element[6].inack_ctl._y : 1 [by t.a.fifo_element[6]._reset_BX:=0] + 155179 t.a.fifo_element[10]._reset_BX : 0 [by t.a.fifo_element[10].reset_buf._y:=1] + 155186 t.a.fifo_element[10].inack_ctl._y : 1 [by t.a.fifo_element[10]._reset_BX:=0] + 155216 t.a.fifo_element[10].in.a : 0 [by t.a.fifo_element[10].inack_ctl._y:=1] + 155263 t.a.fifo_element[6].in.r : 0 [by t.a.fifo_element[5].buf_func._y:=1] + 155400 t.a.fifo_element[10].buf_func._y : 1 [by t.a.fifo_element[10]._reset_BX:=0] + 155417 t.a.fifo_element[8].inack_ctl._y : 1 [by t.a.fifo_element[8]._reset_BX:=0] + 155508 t.a.fifo_element[8].in.a : 0 [by t.a.fifo_element[8].inack_ctl._y:=1] + 155746 t.a.fifo_element[9].inack_ctl._y : 1 [by t.a.fifo_element[9]._reset_BX:=0] + 155753 t.a.fifo_element[9].in.a : 0 [by t.a.fifo_element[9].inack_ctl._y:=1] + 155948 t.a.fifo_element[10].in.r : 0 [by t.a.fifo_element[9].buf_func._y:=1] + 156054 t.a.fifo_element[9]._en : 1 [by t.a.fifo_element[10].in.r:=0] + 156548 t.a.fifo_element[7].in.r : 0 [by t.a.fifo_element[6].buf_func._y:=1] + 156902 t.a.fifo_element[3].reset_buf._y : 1 [by t.a._reset_BXX[0]:=0] + 156912 t.a.fifo_element[4].reset_buf._y : 1 [by t.a._reset_BXX[0]:=0] + 156980 t.a.fifo_element[3]._reset_BX : 0 [by t.a.fifo_element[3].reset_buf._y:=1] + 157187 t.a.fifo_element[4]._reset_BX : 0 [by t.a.fifo_element[4].reset_buf._y:=1] + 157199 t.a.fifo_element[4].buf_func._y : 1 [by t.a.fifo_element[4]._reset_BX:=0] + 157211 t.a.fifo_element[5].in.r : 0 [by t.a.fifo_element[4].buf_func._y:=1] + 157435 t.a.fifo_element[3].inack_ctl._y : 1 [by t.a.fifo_element[3]._reset_BX:=0] + 157591 t.a.fifo_element[4].inack_ctl._y : 1 [by t.a.fifo_element[4]._reset_BX:=0] + 157659 t.a.fifo_element[0].reset_buf._y : 1 [by t.a._reset_BXX[0]:=0] + 157697 t.a.fifo_element[7]._out_a_B : 1 [by t.a.fifo_element[8].in.a:=0] + 158540 t.a.fifo_element[8]._out_a_B : 1 [by t.a.fifo_element[9].in.a:=0] + 160558 t.a.fifo_element[0]._reset_BX : 0 [by t.a.fifo_element[0].reset_buf._y:=1] + 160560 t.a.fifo_element[0].inack_ctl._y : 1 [by t.a.fifo_element[0]._reset_BX:=0] + 161867 t.a.fifo_element[4].in.a : 0 [by t.a.fifo_element[4].inack_ctl._y:=1] + 161929 t.a.fifo_element[9]._out_a_B : 1 [by t.a.fifo_element[10].in.a:=0] + 163116 t.a.fifo_element[4]._en : 1 [by t.a.fifo_element[4].in.a:=0] + 163351 t.a.fifo_element[3].in.a : 0 [by t.a.fifo_element[3].inack_ctl._y:=1] + 163517 t.a.fifo_element[2]._out_a_B : 1 [by t.a.fifo_element[3].in.a:=0] + 164853 t.a.fifo_element[0].buf_func._y : 1 [by t.a.fifo_element[0]._reset_BX:=0] + 164928 t.a.fifo_element[1].in.r : 0 [by t.a.fifo_element[0].buf_func._y:=1] + 165095 t.in.a : 0 [by t.a.fifo_element[0].inack_ctl._y:=1] + 165366 t.a.fifo_element[3]._out_a_B : 1 [by t.a.fifo_element[4].in.a:=0] + 165440 t.a.fifo_element[0]._en : 1 [by t.in.a:=0] + 169094 t.a.fifo_element[6].in.a : 0 [by t.a.fifo_element[6].inack_ctl._y:=1] + 169232 t.a.fifo_element[6]._en : 1 [by t.a.fifo_element[6].in.a:=0] + 170433 t.a.fifo_element[5].inack_ctl._y : 1 [by t.a.fifo_element[5]._reset_BX:=0] + 170435 t.a.fifo_element[5].in.a : 0 [by t.a.fifo_element[5].inack_ctl._y:=1] + 170436 t.a.fifo_element[4]._out_a_B : 1 [by t.a.fifo_element[5].in.a:=0] + 174357 t.a.fifo_element[5]._out_a_B : 1 [by t.a.fifo_element[6].in.a:=0] + 174483 t.a.fifo_element[1].reset_buf._y : 1 [by t.a._reset_BXX[0]:=0] + 175031 t.a.fifo_element[1]._reset_BX : 0 [by t.a.fifo_element[1].reset_buf._y:=1] + 175042 t.a.fifo_element[1].buf_func._y : 1 [by t.a.fifo_element[1]._reset_BX:=0] + 175109 t.a.fifo_element[2].in.r : 0 [by t.a.fifo_element[1].buf_func._y:=1] + 175340 t.a.fifo_element[12].reset_buf._y : 1 [by t.a._reset_BXX[0]:=0] + 175401 t.a.fifo_element[1].inack_ctl._y : 1 [by t.a.fifo_element[1]._reset_BX:=0] + 175417 t.a.fifo_element[1].in.a : 0 [by t.a.fifo_element[1].inack_ctl._y:=1] + 175945 t.a.fifo_element[0]._out_a_B : 1 [by t.a.fifo_element[1].in.a:=0] + 175976 t.a.fifo_element[3].buf_func._y : 1 [by t.a.fifo_element[3]._reset_BX:=0] + 177981 t.a.fifo_element[12]._reset_BX : 0 [by t.a.fifo_element[12].reset_buf._y:=1] + 178411 t.a.fifo_element[4].in.r : 0 [by t.a.fifo_element[3].buf_func._y:=1] + 179979 t.a.fifo_element[1]._en : 1 [by t.a.fifo_element[1].in.a:=0] + 184720 t.a.fifo_element[9].in.r : 0 [by t.a.fifo_element[8].buf_func._y:=1] + 184722 t.a.fifo_element[8]._en : 1 [by t.a.fifo_element[9].in.r:=0] + 189451 t.a.fifo_element[13].inack_ctl._y : 1 [by t.a.fifo_element[13]._reset_BX:=0] + 191452 t.a.fifo_element[3]._en : 1 [by t.a.fifo_element[4].in.r:=0] + 197800 t.a.fifo_element[2].reset_buf._y : 1 [by t.a._reset_BXX[0]:=0] + 197803 t.a.fifo_element[2]._reset_BX : 0 [by t.a.fifo_element[2].reset_buf._y:=1] + 197832 t.a.fifo_element[2].buf_func._y : 1 [by t.a.fifo_element[2]._reset_BX:=0] + 198120 t.a.fifo_element[2].inack_ctl._y : 1 [by t.a.fifo_element[2]._reset_BX:=0] + 198273 t.a.fifo_element[2].in.a : 0 [by t.a.fifo_element[2].inack_ctl._y:=1] + 198317 t.a.fifo_element[1]._out_a_B : 1 [by t.a.fifo_element[2].in.a:=0] + 199433 t.a.fifo_element[3].in.r : 0 [by t.a.fifo_element[2].buf_func._y:=1] + 201126 t.a.fifo_element[10]._out_a_B : 1 [by t.a.fifo_element[11].in.a:=0] + 201906 t.a.fifo_element[12].inack_ctl._y : 1 [by t.a.fifo_element[12]._reset_BX:=0] + 202194 t.a.fifo_element[2]._en : 1 [by t.a.fifo_element[3].in.r:=0] + 204861 t.a.fifo_element[12].buf_func._y : 1 [by t.a.fifo_element[12]._reset_BX:=0] + 204862 t.a.fifo_element[13].in.r : 0 [by t.a.fifo_element[12].buf_func._y:=1] + 205198 t.a.fifo_element[14].buf_func._y : 1 [by t.a.fifo_element[14]._reset_BX:=0] + 205415 t.out.r : 0 [by t.a.fifo_element[14].buf_func._y:=1] + 205437 t.a.fifo_element[14]._en : 1 [by t.out.r:=0] + 207369 t.a.fifo_element[7].reset_buf._y : 1 [by t.a._reset_BXX[0]:=0] + 207370 t.a.fifo_element[7]._reset_BX : 0 [by t.a.fifo_element[7].reset_buf._y:=1] + 208951 t.a.fifo_element[7].buf_func._y : 1 [by t.a.fifo_element[7]._reset_BX:=0] + 209110 t.a.fifo_element[8].in.r : 0 [by t.a.fifo_element[7].buf_func._y:=1] + 212861 t.a.fifo_element[11].in.r : 0 [by t.a.fifo_element[10].buf_func._y:=1] + 214352 t.a.fifo_element[5]._en : 1 [by t.a.fifo_element[5].in.a:=0] + 215589 t.a.fifo_element[12].in.a : 0 [by t.a.fifo_element[12].inack_ctl._y:=1] + 216715 t.a.fifo_element[7].inack_ctl._y : 1 [by t.a.fifo_element[7]._reset_BX:=0] + 216860 t.a.fifo_element[7].in.a : 0 [by t.a.fifo_element[7].inack_ctl._y:=1] + 216876 t.a.fifo_element[12]._en : 1 [by t.a.fifo_element[12].in.a:=0] + 217821 t.a.fifo_element[11]._out_a_B : 1 [by t.a.fifo_element[12].in.a:=0] + 219692 t.a.fifo_element[7]._en : 1 [by t.a.fifo_element[7].in.a:=0] + 223214 t.a.fifo_element[6]._out_a_B : 1 [by t.a.fifo_element[7].in.a:=0] + 232537 t.a.fifo_element[10]._en : 1 [by t.a.fifo_element[11].in.r:=0] + 250409 t.a.fifo_element[13].in.a : 0 [by t.a.fifo_element[13].inack_ctl._y:=1] + 250410 t.a.fifo_element[13]._en : 1 [by t.a.fifo_element[13].in.a:=0] + 289693 t.a.fifo_element[12]._out_a_B : 1 [by t.a.fifo_element[13].in.a:=0] + +[1] reset done +---------------------------------------------------------------------------------------------------- + 289693 t.in.r : 1 + 289693 t.in.r : 0 +1 bit inside + 289693 t.in.r : 1 + 289693 t.in.r : 0 +2 bit inside + 289693 t.in.r : 1 + 289693 t.in.r : 0 +3 bit inside + 289693 t.in.r : 1 + 289693 t.in.r : 0 +4 bit inside + 289693 t.in.r : 1 + 289693 t.in.r : 0 +5 bit inside + 289693 t.in.r : 1 + 289693 t.in.r : 0 +6 bit inside + 289693 t.in.r : 1 + 289693 t.in.r : 0 +7 bit inside +WRONG ASSERT: "t.out.r" has value 0 and not 1. diff --git a/test/unit_tests/fifo_t_15/run/test.prs b/test/unit_tests/fifo_t_15/run/test.prs new file mode 100644 index 0000000..a54ca0b --- /dev/null +++ b/test/unit_tests/fifo_t_15/run/test.prs @@ -0,0 +1,922 @@ += "GND" "GND" += "Vdd" "Vdd" += "Reset" "Reset" +"t.a.reset_bufarray.buf6.a"->"t.a.reset_bufarray.buf6._y"- +~("t.a.reset_bufarray.buf6.a")->"t.a.reset_bufarray.buf6._y"+ +"t.a.reset_bufarray.buf6._y"->"t.a.reset_bufarray.buf6.y"- +~("t.a.reset_bufarray.buf6._y")->"t.a.reset_bufarray.buf6.y"+ += "t.a.reset_bufarray.supply.vdd" "t.a.reset_bufarray.buf6.vdd" += "t.a.reset_bufarray.supply.vss" "t.a.reset_bufarray.buf6.vss" += "t.a.reset_bufarray.out[0]" "t.a.reset_bufarray.out[14]" += "t.a.reset_bufarray.out[0]" "t.a.reset_bufarray.out[13]" += "t.a.reset_bufarray.out[0]" "t.a.reset_bufarray.out[12]" += "t.a.reset_bufarray.out[0]" "t.a.reset_bufarray.out[11]" += "t.a.reset_bufarray.out[0]" "t.a.reset_bufarray.out[10]" += "t.a.reset_bufarray.out[0]" "t.a.reset_bufarray.out[9]" += "t.a.reset_bufarray.out[0]" "t.a.reset_bufarray.out[8]" += "t.a.reset_bufarray.out[0]" "t.a.reset_bufarray.out[7]" += "t.a.reset_bufarray.out[0]" "t.a.reset_bufarray.out[6]" += "t.a.reset_bufarray.out[0]" "t.a.reset_bufarray.out[5]" += "t.a.reset_bufarray.out[0]" "t.a.reset_bufarray.out[4]" += "t.a.reset_bufarray.out[0]" "t.a.reset_bufarray.out[3]" += "t.a.reset_bufarray.out[0]" "t.a.reset_bufarray.out[2]" += "t.a.reset_bufarray.out[0]" "t.a.reset_bufarray.out[1]" += "t.a.reset_bufarray.out[0]" "t.a.reset_bufarray.buf6.y" += "t.a.reset_bufarray.in" "t.a.reset_bufarray.buf6.a" +"t.a.reset_buf.a"->"t.a.reset_buf._y"- +~("t.a.reset_buf.a")->"t.a.reset_buf._y"+ +"t.a.reset_buf._y"->"t.a.reset_buf.y"- +~("t.a.reset_buf._y")->"t.a.reset_buf.y"+ += "t.a.reset_B" "t.a.reset_buf.a" += "t.a.supply.vss" "t.a.fifo_element[14].supply.vss" += "t.a.supply.vdd" "t.a.fifo_element[14].supply.vdd" += "t.a.supply.vss" "t.a.fifo_element[13].supply.vss" += "t.a.supply.vdd" "t.a.fifo_element[13].supply.vdd" += "t.a.supply.vss" "t.a.fifo_element[12].supply.vss" += "t.a.supply.vdd" "t.a.fifo_element[12].supply.vdd" += "t.a.supply.vss" "t.a.fifo_element[11].supply.vss" += "t.a.supply.vdd" "t.a.fifo_element[11].supply.vdd" += "t.a.supply.vss" "t.a.fifo_element[10].supply.vss" += "t.a.supply.vdd" "t.a.fifo_element[10].supply.vdd" += "t.a.supply.vss" "t.a.fifo_element[9].supply.vss" += "t.a.supply.vdd" "t.a.fifo_element[9].supply.vdd" += "t.a.supply.vss" "t.a.fifo_element[8].supply.vss" += "t.a.supply.vdd" "t.a.fifo_element[8].supply.vdd" += "t.a.supply.vss" "t.a.fifo_element[7].supply.vss" += "t.a.supply.vdd" "t.a.fifo_element[7].supply.vdd" += "t.a.supply.vss" "t.a.fifo_element[6].supply.vss" += "t.a.supply.vdd" "t.a.fifo_element[6].supply.vdd" += "t.a.supply.vss" "t.a.fifo_element[5].supply.vss" += "t.a.supply.vdd" "t.a.fifo_element[5].supply.vdd" += "t.a.supply.vss" "t.a.fifo_element[4].supply.vss" += "t.a.supply.vdd" "t.a.fifo_element[4].supply.vdd" += "t.a.supply.vss" "t.a.fifo_element[3].supply.vss" += "t.a.supply.vdd" "t.a.fifo_element[3].supply.vdd" += "t.a.supply.vss" "t.a.fifo_element[2].supply.vss" += "t.a.supply.vdd" "t.a.fifo_element[2].supply.vdd" += "t.a.supply.vss" "t.a.fifo_element[1].supply.vss" += "t.a.supply.vdd" "t.a.fifo_element[1].supply.vdd" += "t.a.supply.vss" "t.a.fifo_element[0].supply.vss" += "t.a.supply.vdd" "t.a.fifo_element[0].supply.vdd" += "t.a.supply.vdd" "t.a.reset_buf.vdd" += "t.a.supply.vss" "t.a.reset_buf.vss" += "t.a._reset_BX" "t.a.reset_bufarray.in" += "t.a._reset_BX" "t.a.reset_buf.y" +"t.a.fifo_element[0].reset_buf.a"->"t.a.fifo_element[0].reset_buf._y"- +~("t.a.fifo_element[0].reset_buf.a")->"t.a.fifo_element[0].reset_buf._y"+ +"t.a.fifo_element[0].reset_buf._y"->"t.a.fifo_element[0].reset_buf.y"- +~("t.a.fifo_element[0].reset_buf._y")->"t.a.fifo_element[0].reset_buf.y"+ +"t.a.fifo_element[0].inv_outa.a"->"t.a.fifo_element[0].inv_outa.y"- +~("t.a.fifo_element[0].inv_outa.a")->"t.a.fifo_element[0].inv_outa.y"+ +~"t.a.fifo_element[0].inack_ctl.c1"&~"t.a.fifo_element[0].inack_ctl.c2"&~"t.a.fifo_element[0].inack_ctl.c3"|~"t.a.fifo_element[0].inack_ctl.pr_B"->"t.a.fifo_element[0].inack_ctl._y"+ +"t.a.fifo_element[0].inack_ctl.c1"&"t.a.fifo_element[0].inack_ctl.c2"&"t.a.fifo_element[0].inack_ctl.c3"&"t.a.fifo_element[0].inack_ctl.sr_B"->"t.a.fifo_element[0].inack_ctl._y"- +"t.a.fifo_element[0].inack_ctl._y"->"t.a.fifo_element[0].inack_ctl.y"- +~("t.a.fifo_element[0].inack_ctl._y")->"t.a.fifo_element[0].inack_ctl.y"+ +~"t.a.fifo_element[0].buf_func.c1"&~"t.a.fifo_element[0].buf_func.c2"|~"t.a.fifo_element[0].buf_func.pr_B"->"t.a.fifo_element[0].buf_func._y"+ +"t.a.fifo_element[0].buf_func.c1"&"t.a.fifo_element[0].buf_func.c2"&"t.a.fifo_element[0].buf_func.n1"&"t.a.fifo_element[0].buf_func.sr_B"->"t.a.fifo_element[0].buf_func._y"- +"t.a.fifo_element[0].buf_func._y"->"t.a.fifo_element[0].buf_func.y"- +~("t.a.fifo_element[0].buf_func._y")->"t.a.fifo_element[0].buf_func.y"+ += "t.a.fifo_element[0].reset_B" "t.a.fifo_element[0].reset_buf.a" += "t.a.fifo_element[0].supply.vdd" "t.a.fifo_element[0].reset_buf.vdd" += "t.a.fifo_element[0].supply.vdd" "t.a.fifo_element[0].buf_func.vdd" += "t.a.fifo_element[0].supply.vdd" "t.a.fifo_element[0].inv_outa.vdd" += "t.a.fifo_element[0].supply.vdd" "t.a.fifo_element[0].en_ctl.vdd" += "t.a.fifo_element[0].supply.vdd" "t.a.fifo_element[0].inack_ctl.vdd" += "t.a.fifo_element[0].supply.vss" "t.a.fifo_element[0].reset_buf.vss" += "t.a.fifo_element[0].supply.vss" "t.a.fifo_element[0].buf_func.vss" += "t.a.fifo_element[0].supply.vss" "t.a.fifo_element[0].inv_outa.vss" += "t.a.fifo_element[0].supply.vss" "t.a.fifo_element[0].en_ctl.vss" += "t.a.fifo_element[0].supply.vss" "t.a.fifo_element[0].inack_ctl.vss" += "t.a.fifo_element[0]._reset_BX" "t.a.fifo_element[0].reset_buf.y" += "t.a.fifo_element[0]._reset_BX" "t.a.fifo_element[0].buf_func.sr_B" += "t.a.fifo_element[0]._reset_BX" "t.a.fifo_element[0].buf_func.pr_B" += "t.a.fifo_element[0]._reset_BX" "t.a.fifo_element[0].inack_ctl.sr_B" += "t.a.fifo_element[0]._reset_BX" "t.a.fifo_element[0].inack_ctl.pr_B" += "t.a.fifo_element[0]._en" "t.a.fifo_element[0].buf_func.c1" += "t.a.fifo_element[0]._en" "t.a.fifo_element[0].en_ctl.y" += "t.a.fifo_element[0]._en" "t.a.fifo_element[0].inack_ctl.c1" +~"t.a.fifo_element[0].en_ctl.p1"&~"t.a.fifo_element[0].en_ctl.c1"->"t.a.fifo_element[0].en_ctl.y"+ +"t.a.fifo_element[0].en_ctl.c1"->"t.a.fifo_element[0].en_ctl.y"- += "t.a.fifo_element[0]._out_a_B" "t.a.fifo_element[0].buf_func.c2" += "t.a.fifo_element[0]._out_a_B" "t.a.fifo_element[0].inv_outa.y" += "t.a.fifo_element[0].in.d.d[0]" "t.a.fifo_element[0].in.r" += "t.a.fifo_element[0].in.a" "t.a.fifo_element[0].en_ctl.c1" += "t.a.fifo_element[0].in.a" "t.a.fifo_element[0].inack_ctl.y" += "t.a.fifo_element[0].in.d.d[0]" "t.a.fifo_element[0].buf_func.n1" += "t.a.fifo_element[0].in.d.d[0]" "t.a.fifo_element[0].inack_ctl.c2" += "t.a.fifo_element[0].in.d.d[0]" "t.a.fifo_element[0].in.r" += "t.a.fifo_element[0].out.d.d[0]" "t.a.fifo_element[0].out.r" += "t.a.fifo_element[0].out.a" "t.a.fifo_element[0].inv_outa.a" += "t.a.fifo_element[0].out.d.d[0]" "t.a.fifo_element[0].buf_func.y" += "t.a.fifo_element[0].out.d.d[0]" "t.a.fifo_element[0].en_ctl.p1" += "t.a.fifo_element[0].out.d.d[0]" "t.a.fifo_element[0].inack_ctl.c3" += "t.a.fifo_element[0].out.d.d[0]" "t.a.fifo_element[0].out.r" +"t.a.fifo_element[1].reset_buf.a"->"t.a.fifo_element[1].reset_buf._y"- +~("t.a.fifo_element[1].reset_buf.a")->"t.a.fifo_element[1].reset_buf._y"+ +"t.a.fifo_element[1].reset_buf._y"->"t.a.fifo_element[1].reset_buf.y"- +~("t.a.fifo_element[1].reset_buf._y")->"t.a.fifo_element[1].reset_buf.y"+ +"t.a.fifo_element[1].inv_outa.a"->"t.a.fifo_element[1].inv_outa.y"- +~("t.a.fifo_element[1].inv_outa.a")->"t.a.fifo_element[1].inv_outa.y"+ +~"t.a.fifo_element[1].inack_ctl.c1"&~"t.a.fifo_element[1].inack_ctl.c2"&~"t.a.fifo_element[1].inack_ctl.c3"|~"t.a.fifo_element[1].inack_ctl.pr_B"->"t.a.fifo_element[1].inack_ctl._y"+ +"t.a.fifo_element[1].inack_ctl.c1"&"t.a.fifo_element[1].inack_ctl.c2"&"t.a.fifo_element[1].inack_ctl.c3"&"t.a.fifo_element[1].inack_ctl.sr_B"->"t.a.fifo_element[1].inack_ctl._y"- +"t.a.fifo_element[1].inack_ctl._y"->"t.a.fifo_element[1].inack_ctl.y"- +~("t.a.fifo_element[1].inack_ctl._y")->"t.a.fifo_element[1].inack_ctl.y"+ +~"t.a.fifo_element[1].buf_func.c1"&~"t.a.fifo_element[1].buf_func.c2"|~"t.a.fifo_element[1].buf_func.pr_B"->"t.a.fifo_element[1].buf_func._y"+ +"t.a.fifo_element[1].buf_func.c1"&"t.a.fifo_element[1].buf_func.c2"&"t.a.fifo_element[1].buf_func.n1"&"t.a.fifo_element[1].buf_func.sr_B"->"t.a.fifo_element[1].buf_func._y"- +"t.a.fifo_element[1].buf_func._y"->"t.a.fifo_element[1].buf_func.y"- +~("t.a.fifo_element[1].buf_func._y")->"t.a.fifo_element[1].buf_func.y"+ += "t.a.fifo_element[1].reset_B" "t.a.fifo_element[1].reset_buf.a" += "t.a.fifo_element[1].supply.vdd" "t.a.fifo_element[1].reset_buf.vdd" += "t.a.fifo_element[1].supply.vdd" "t.a.fifo_element[1].buf_func.vdd" += "t.a.fifo_element[1].supply.vdd" "t.a.fifo_element[1].inv_outa.vdd" += "t.a.fifo_element[1].supply.vdd" "t.a.fifo_element[1].en_ctl.vdd" += "t.a.fifo_element[1].supply.vdd" "t.a.fifo_element[1].inack_ctl.vdd" += "t.a.fifo_element[1].supply.vss" "t.a.fifo_element[1].reset_buf.vss" += "t.a.fifo_element[1].supply.vss" "t.a.fifo_element[1].buf_func.vss" += "t.a.fifo_element[1].supply.vss" "t.a.fifo_element[1].inv_outa.vss" += "t.a.fifo_element[1].supply.vss" "t.a.fifo_element[1].en_ctl.vss" += "t.a.fifo_element[1].supply.vss" "t.a.fifo_element[1].inack_ctl.vss" += "t.a.fifo_element[1]._reset_BX" "t.a.fifo_element[1].reset_buf.y" += "t.a.fifo_element[1]._reset_BX" "t.a.fifo_element[1].buf_func.sr_B" += "t.a.fifo_element[1]._reset_BX" "t.a.fifo_element[1].buf_func.pr_B" += "t.a.fifo_element[1]._reset_BX" "t.a.fifo_element[1].inack_ctl.sr_B" += "t.a.fifo_element[1]._reset_BX" "t.a.fifo_element[1].inack_ctl.pr_B" += "t.a.fifo_element[1]._en" "t.a.fifo_element[1].buf_func.c1" += "t.a.fifo_element[1]._en" "t.a.fifo_element[1].en_ctl.y" += "t.a.fifo_element[1]._en" "t.a.fifo_element[1].inack_ctl.c1" +~"t.a.fifo_element[1].en_ctl.p1"&~"t.a.fifo_element[1].en_ctl.c1"->"t.a.fifo_element[1].en_ctl.y"+ +"t.a.fifo_element[1].en_ctl.c1"->"t.a.fifo_element[1].en_ctl.y"- += "t.a.fifo_element[1]._out_a_B" "t.a.fifo_element[1].buf_func.c2" += "t.a.fifo_element[1]._out_a_B" "t.a.fifo_element[1].inv_outa.y" += "t.a.fifo_element[1].in.d.d[0]" "t.a.fifo_element[1].in.r" += "t.a.fifo_element[1].in.a" "t.a.fifo_element[1].en_ctl.c1" += "t.a.fifo_element[1].in.a" "t.a.fifo_element[1].inack_ctl.y" += "t.a.fifo_element[1].in.d.d[0]" "t.a.fifo_element[1].buf_func.n1" += "t.a.fifo_element[1].in.d.d[0]" "t.a.fifo_element[1].inack_ctl.c2" += "t.a.fifo_element[1].in.d.d[0]" "t.a.fifo_element[1].in.r" += "t.a.fifo_element[1].out.d.d[0]" "t.a.fifo_element[1].out.r" += "t.a.fifo_element[1].out.a" "t.a.fifo_element[1].inv_outa.a" += "t.a.fifo_element[1].out.d.d[0]" "t.a.fifo_element[1].buf_func.y" += "t.a.fifo_element[1].out.d.d[0]" "t.a.fifo_element[1].en_ctl.p1" += "t.a.fifo_element[1].out.d.d[0]" "t.a.fifo_element[1].inack_ctl.c3" += "t.a.fifo_element[1].out.d.d[0]" "t.a.fifo_element[1].out.r" +"t.a.fifo_element[2].reset_buf.a"->"t.a.fifo_element[2].reset_buf._y"- +~("t.a.fifo_element[2].reset_buf.a")->"t.a.fifo_element[2].reset_buf._y"+ +"t.a.fifo_element[2].reset_buf._y"->"t.a.fifo_element[2].reset_buf.y"- +~("t.a.fifo_element[2].reset_buf._y")->"t.a.fifo_element[2].reset_buf.y"+ +"t.a.fifo_element[2].inv_outa.a"->"t.a.fifo_element[2].inv_outa.y"- +~("t.a.fifo_element[2].inv_outa.a")->"t.a.fifo_element[2].inv_outa.y"+ +~"t.a.fifo_element[2].inack_ctl.c1"&~"t.a.fifo_element[2].inack_ctl.c2"&~"t.a.fifo_element[2].inack_ctl.c3"|~"t.a.fifo_element[2].inack_ctl.pr_B"->"t.a.fifo_element[2].inack_ctl._y"+ +"t.a.fifo_element[2].inack_ctl.c1"&"t.a.fifo_element[2].inack_ctl.c2"&"t.a.fifo_element[2].inack_ctl.c3"&"t.a.fifo_element[2].inack_ctl.sr_B"->"t.a.fifo_element[2].inack_ctl._y"- +"t.a.fifo_element[2].inack_ctl._y"->"t.a.fifo_element[2].inack_ctl.y"- +~("t.a.fifo_element[2].inack_ctl._y")->"t.a.fifo_element[2].inack_ctl.y"+ +~"t.a.fifo_element[2].buf_func.c1"&~"t.a.fifo_element[2].buf_func.c2"|~"t.a.fifo_element[2].buf_func.pr_B"->"t.a.fifo_element[2].buf_func._y"+ +"t.a.fifo_element[2].buf_func.c1"&"t.a.fifo_element[2].buf_func.c2"&"t.a.fifo_element[2].buf_func.n1"&"t.a.fifo_element[2].buf_func.sr_B"->"t.a.fifo_element[2].buf_func._y"- +"t.a.fifo_element[2].buf_func._y"->"t.a.fifo_element[2].buf_func.y"- +~("t.a.fifo_element[2].buf_func._y")->"t.a.fifo_element[2].buf_func.y"+ += "t.a.fifo_element[2].reset_B" "t.a.fifo_element[2].reset_buf.a" += "t.a.fifo_element[2].supply.vdd" "t.a.fifo_element[2].reset_buf.vdd" += "t.a.fifo_element[2].supply.vdd" "t.a.fifo_element[2].buf_func.vdd" += "t.a.fifo_element[2].supply.vdd" "t.a.fifo_element[2].inv_outa.vdd" += "t.a.fifo_element[2].supply.vdd" "t.a.fifo_element[2].en_ctl.vdd" += "t.a.fifo_element[2].supply.vdd" "t.a.fifo_element[2].inack_ctl.vdd" += "t.a.fifo_element[2].supply.vss" "t.a.fifo_element[2].reset_buf.vss" += "t.a.fifo_element[2].supply.vss" "t.a.fifo_element[2].buf_func.vss" += "t.a.fifo_element[2].supply.vss" "t.a.fifo_element[2].inv_outa.vss" += "t.a.fifo_element[2].supply.vss" "t.a.fifo_element[2].en_ctl.vss" += "t.a.fifo_element[2].supply.vss" "t.a.fifo_element[2].inack_ctl.vss" += "t.a.fifo_element[2]._reset_BX" "t.a.fifo_element[2].reset_buf.y" += "t.a.fifo_element[2]._reset_BX" "t.a.fifo_element[2].buf_func.sr_B" += "t.a.fifo_element[2]._reset_BX" "t.a.fifo_element[2].buf_func.pr_B" += "t.a.fifo_element[2]._reset_BX" "t.a.fifo_element[2].inack_ctl.sr_B" += "t.a.fifo_element[2]._reset_BX" "t.a.fifo_element[2].inack_ctl.pr_B" += "t.a.fifo_element[2]._en" "t.a.fifo_element[2].buf_func.c1" += "t.a.fifo_element[2]._en" "t.a.fifo_element[2].en_ctl.y" += "t.a.fifo_element[2]._en" "t.a.fifo_element[2].inack_ctl.c1" +~"t.a.fifo_element[2].en_ctl.p1"&~"t.a.fifo_element[2].en_ctl.c1"->"t.a.fifo_element[2].en_ctl.y"+ +"t.a.fifo_element[2].en_ctl.c1"->"t.a.fifo_element[2].en_ctl.y"- += "t.a.fifo_element[2]._out_a_B" "t.a.fifo_element[2].buf_func.c2" += "t.a.fifo_element[2]._out_a_B" "t.a.fifo_element[2].inv_outa.y" += "t.a.fifo_element[2].in.d.d[0]" "t.a.fifo_element[2].in.r" += "t.a.fifo_element[2].in.a" "t.a.fifo_element[2].en_ctl.c1" += "t.a.fifo_element[2].in.a" "t.a.fifo_element[2].inack_ctl.y" += "t.a.fifo_element[2].in.d.d[0]" "t.a.fifo_element[2].buf_func.n1" += "t.a.fifo_element[2].in.d.d[0]" "t.a.fifo_element[2].inack_ctl.c2" += "t.a.fifo_element[2].in.d.d[0]" "t.a.fifo_element[2].in.r" += "t.a.fifo_element[2].out.d.d[0]" "t.a.fifo_element[2].out.r" += "t.a.fifo_element[2].out.a" "t.a.fifo_element[2].inv_outa.a" += "t.a.fifo_element[2].out.d.d[0]" "t.a.fifo_element[2].buf_func.y" += "t.a.fifo_element[2].out.d.d[0]" "t.a.fifo_element[2].en_ctl.p1" += "t.a.fifo_element[2].out.d.d[0]" "t.a.fifo_element[2].inack_ctl.c3" += "t.a.fifo_element[2].out.d.d[0]" "t.a.fifo_element[2].out.r" +"t.a.fifo_element[3].reset_buf.a"->"t.a.fifo_element[3].reset_buf._y"- +~("t.a.fifo_element[3].reset_buf.a")->"t.a.fifo_element[3].reset_buf._y"+ +"t.a.fifo_element[3].reset_buf._y"->"t.a.fifo_element[3].reset_buf.y"- +~("t.a.fifo_element[3].reset_buf._y")->"t.a.fifo_element[3].reset_buf.y"+ +"t.a.fifo_element[3].inv_outa.a"->"t.a.fifo_element[3].inv_outa.y"- +~("t.a.fifo_element[3].inv_outa.a")->"t.a.fifo_element[3].inv_outa.y"+ +~"t.a.fifo_element[3].inack_ctl.c1"&~"t.a.fifo_element[3].inack_ctl.c2"&~"t.a.fifo_element[3].inack_ctl.c3"|~"t.a.fifo_element[3].inack_ctl.pr_B"->"t.a.fifo_element[3].inack_ctl._y"+ +"t.a.fifo_element[3].inack_ctl.c1"&"t.a.fifo_element[3].inack_ctl.c2"&"t.a.fifo_element[3].inack_ctl.c3"&"t.a.fifo_element[3].inack_ctl.sr_B"->"t.a.fifo_element[3].inack_ctl._y"- +"t.a.fifo_element[3].inack_ctl._y"->"t.a.fifo_element[3].inack_ctl.y"- +~("t.a.fifo_element[3].inack_ctl._y")->"t.a.fifo_element[3].inack_ctl.y"+ +~"t.a.fifo_element[3].buf_func.c1"&~"t.a.fifo_element[3].buf_func.c2"|~"t.a.fifo_element[3].buf_func.pr_B"->"t.a.fifo_element[3].buf_func._y"+ +"t.a.fifo_element[3].buf_func.c1"&"t.a.fifo_element[3].buf_func.c2"&"t.a.fifo_element[3].buf_func.n1"&"t.a.fifo_element[3].buf_func.sr_B"->"t.a.fifo_element[3].buf_func._y"- +"t.a.fifo_element[3].buf_func._y"->"t.a.fifo_element[3].buf_func.y"- +~("t.a.fifo_element[3].buf_func._y")->"t.a.fifo_element[3].buf_func.y"+ += "t.a.fifo_element[3].reset_B" "t.a.fifo_element[3].reset_buf.a" += "t.a.fifo_element[3].supply.vdd" "t.a.fifo_element[3].reset_buf.vdd" += "t.a.fifo_element[3].supply.vdd" "t.a.fifo_element[3].buf_func.vdd" += "t.a.fifo_element[3].supply.vdd" "t.a.fifo_element[3].inv_outa.vdd" += "t.a.fifo_element[3].supply.vdd" "t.a.fifo_element[3].en_ctl.vdd" += "t.a.fifo_element[3].supply.vdd" "t.a.fifo_element[3].inack_ctl.vdd" += "t.a.fifo_element[3].supply.vss" "t.a.fifo_element[3].reset_buf.vss" += "t.a.fifo_element[3].supply.vss" "t.a.fifo_element[3].buf_func.vss" += "t.a.fifo_element[3].supply.vss" "t.a.fifo_element[3].inv_outa.vss" += "t.a.fifo_element[3].supply.vss" "t.a.fifo_element[3].en_ctl.vss" += "t.a.fifo_element[3].supply.vss" "t.a.fifo_element[3].inack_ctl.vss" += "t.a.fifo_element[3]._reset_BX" "t.a.fifo_element[3].reset_buf.y" += "t.a.fifo_element[3]._reset_BX" "t.a.fifo_element[3].buf_func.sr_B" += "t.a.fifo_element[3]._reset_BX" "t.a.fifo_element[3].buf_func.pr_B" += "t.a.fifo_element[3]._reset_BX" "t.a.fifo_element[3].inack_ctl.sr_B" += "t.a.fifo_element[3]._reset_BX" "t.a.fifo_element[3].inack_ctl.pr_B" += "t.a.fifo_element[3]._en" "t.a.fifo_element[3].buf_func.c1" += "t.a.fifo_element[3]._en" "t.a.fifo_element[3].en_ctl.y" += "t.a.fifo_element[3]._en" "t.a.fifo_element[3].inack_ctl.c1" +~"t.a.fifo_element[3].en_ctl.p1"&~"t.a.fifo_element[3].en_ctl.c1"->"t.a.fifo_element[3].en_ctl.y"+ +"t.a.fifo_element[3].en_ctl.c1"->"t.a.fifo_element[3].en_ctl.y"- += "t.a.fifo_element[3]._out_a_B" "t.a.fifo_element[3].buf_func.c2" += "t.a.fifo_element[3]._out_a_B" "t.a.fifo_element[3].inv_outa.y" += "t.a.fifo_element[3].in.d.d[0]" "t.a.fifo_element[3].in.r" += "t.a.fifo_element[3].in.a" "t.a.fifo_element[3].en_ctl.c1" += "t.a.fifo_element[3].in.a" "t.a.fifo_element[3].inack_ctl.y" += "t.a.fifo_element[3].in.d.d[0]" "t.a.fifo_element[3].buf_func.n1" += "t.a.fifo_element[3].in.d.d[0]" "t.a.fifo_element[3].inack_ctl.c2" += "t.a.fifo_element[3].in.d.d[0]" "t.a.fifo_element[3].in.r" += "t.a.fifo_element[3].out.d.d[0]" "t.a.fifo_element[3].out.r" += "t.a.fifo_element[3].out.a" "t.a.fifo_element[3].inv_outa.a" += "t.a.fifo_element[3].out.d.d[0]" "t.a.fifo_element[3].buf_func.y" += "t.a.fifo_element[3].out.d.d[0]" "t.a.fifo_element[3].en_ctl.p1" += "t.a.fifo_element[3].out.d.d[0]" "t.a.fifo_element[3].inack_ctl.c3" += "t.a.fifo_element[3].out.d.d[0]" "t.a.fifo_element[3].out.r" +"t.a.fifo_element[4].reset_buf.a"->"t.a.fifo_element[4].reset_buf._y"- +~("t.a.fifo_element[4].reset_buf.a")->"t.a.fifo_element[4].reset_buf._y"+ +"t.a.fifo_element[4].reset_buf._y"->"t.a.fifo_element[4].reset_buf.y"- +~("t.a.fifo_element[4].reset_buf._y")->"t.a.fifo_element[4].reset_buf.y"+ +"t.a.fifo_element[4].inv_outa.a"->"t.a.fifo_element[4].inv_outa.y"- +~("t.a.fifo_element[4].inv_outa.a")->"t.a.fifo_element[4].inv_outa.y"+ +~"t.a.fifo_element[4].inack_ctl.c1"&~"t.a.fifo_element[4].inack_ctl.c2"&~"t.a.fifo_element[4].inack_ctl.c3"|~"t.a.fifo_element[4].inack_ctl.pr_B"->"t.a.fifo_element[4].inack_ctl._y"+ +"t.a.fifo_element[4].inack_ctl.c1"&"t.a.fifo_element[4].inack_ctl.c2"&"t.a.fifo_element[4].inack_ctl.c3"&"t.a.fifo_element[4].inack_ctl.sr_B"->"t.a.fifo_element[4].inack_ctl._y"- +"t.a.fifo_element[4].inack_ctl._y"->"t.a.fifo_element[4].inack_ctl.y"- +~("t.a.fifo_element[4].inack_ctl._y")->"t.a.fifo_element[4].inack_ctl.y"+ +~"t.a.fifo_element[4].buf_func.c1"&~"t.a.fifo_element[4].buf_func.c2"|~"t.a.fifo_element[4].buf_func.pr_B"->"t.a.fifo_element[4].buf_func._y"+ +"t.a.fifo_element[4].buf_func.c1"&"t.a.fifo_element[4].buf_func.c2"&"t.a.fifo_element[4].buf_func.n1"&"t.a.fifo_element[4].buf_func.sr_B"->"t.a.fifo_element[4].buf_func._y"- +"t.a.fifo_element[4].buf_func._y"->"t.a.fifo_element[4].buf_func.y"- +~("t.a.fifo_element[4].buf_func._y")->"t.a.fifo_element[4].buf_func.y"+ += "t.a.fifo_element[4].reset_B" "t.a.fifo_element[4].reset_buf.a" += "t.a.fifo_element[4].supply.vdd" "t.a.fifo_element[4].reset_buf.vdd" += "t.a.fifo_element[4].supply.vdd" "t.a.fifo_element[4].buf_func.vdd" += "t.a.fifo_element[4].supply.vdd" "t.a.fifo_element[4].inv_outa.vdd" += "t.a.fifo_element[4].supply.vdd" "t.a.fifo_element[4].en_ctl.vdd" += "t.a.fifo_element[4].supply.vdd" "t.a.fifo_element[4].inack_ctl.vdd" += "t.a.fifo_element[4].supply.vss" "t.a.fifo_element[4].reset_buf.vss" += "t.a.fifo_element[4].supply.vss" "t.a.fifo_element[4].buf_func.vss" += "t.a.fifo_element[4].supply.vss" "t.a.fifo_element[4].inv_outa.vss" += "t.a.fifo_element[4].supply.vss" "t.a.fifo_element[4].en_ctl.vss" += "t.a.fifo_element[4].supply.vss" "t.a.fifo_element[4].inack_ctl.vss" += "t.a.fifo_element[4]._reset_BX" "t.a.fifo_element[4].reset_buf.y" += "t.a.fifo_element[4]._reset_BX" "t.a.fifo_element[4].buf_func.sr_B" += "t.a.fifo_element[4]._reset_BX" "t.a.fifo_element[4].buf_func.pr_B" += "t.a.fifo_element[4]._reset_BX" "t.a.fifo_element[4].inack_ctl.sr_B" += "t.a.fifo_element[4]._reset_BX" "t.a.fifo_element[4].inack_ctl.pr_B" += "t.a.fifo_element[4]._en" "t.a.fifo_element[4].buf_func.c1" += "t.a.fifo_element[4]._en" "t.a.fifo_element[4].en_ctl.y" += "t.a.fifo_element[4]._en" "t.a.fifo_element[4].inack_ctl.c1" +~"t.a.fifo_element[4].en_ctl.p1"&~"t.a.fifo_element[4].en_ctl.c1"->"t.a.fifo_element[4].en_ctl.y"+ +"t.a.fifo_element[4].en_ctl.c1"->"t.a.fifo_element[4].en_ctl.y"- += "t.a.fifo_element[4]._out_a_B" "t.a.fifo_element[4].buf_func.c2" += "t.a.fifo_element[4]._out_a_B" "t.a.fifo_element[4].inv_outa.y" += "t.a.fifo_element[4].in.d.d[0]" "t.a.fifo_element[4].in.r" += "t.a.fifo_element[4].in.a" "t.a.fifo_element[4].en_ctl.c1" += "t.a.fifo_element[4].in.a" "t.a.fifo_element[4].inack_ctl.y" += "t.a.fifo_element[4].in.d.d[0]" "t.a.fifo_element[4].buf_func.n1" += "t.a.fifo_element[4].in.d.d[0]" "t.a.fifo_element[4].inack_ctl.c2" += "t.a.fifo_element[4].in.d.d[0]" "t.a.fifo_element[4].in.r" += "t.a.fifo_element[4].out.d.d[0]" "t.a.fifo_element[4].out.r" += "t.a.fifo_element[4].out.a" "t.a.fifo_element[4].inv_outa.a" += "t.a.fifo_element[4].out.d.d[0]" "t.a.fifo_element[4].buf_func.y" += "t.a.fifo_element[4].out.d.d[0]" "t.a.fifo_element[4].en_ctl.p1" += "t.a.fifo_element[4].out.d.d[0]" "t.a.fifo_element[4].inack_ctl.c3" += "t.a.fifo_element[4].out.d.d[0]" "t.a.fifo_element[4].out.r" +"t.a.fifo_element[5].reset_buf.a"->"t.a.fifo_element[5].reset_buf._y"- +~("t.a.fifo_element[5].reset_buf.a")->"t.a.fifo_element[5].reset_buf._y"+ +"t.a.fifo_element[5].reset_buf._y"->"t.a.fifo_element[5].reset_buf.y"- +~("t.a.fifo_element[5].reset_buf._y")->"t.a.fifo_element[5].reset_buf.y"+ +"t.a.fifo_element[5].inv_outa.a"->"t.a.fifo_element[5].inv_outa.y"- +~("t.a.fifo_element[5].inv_outa.a")->"t.a.fifo_element[5].inv_outa.y"+ +~"t.a.fifo_element[5].inack_ctl.c1"&~"t.a.fifo_element[5].inack_ctl.c2"&~"t.a.fifo_element[5].inack_ctl.c3"|~"t.a.fifo_element[5].inack_ctl.pr_B"->"t.a.fifo_element[5].inack_ctl._y"+ +"t.a.fifo_element[5].inack_ctl.c1"&"t.a.fifo_element[5].inack_ctl.c2"&"t.a.fifo_element[5].inack_ctl.c3"&"t.a.fifo_element[5].inack_ctl.sr_B"->"t.a.fifo_element[5].inack_ctl._y"- +"t.a.fifo_element[5].inack_ctl._y"->"t.a.fifo_element[5].inack_ctl.y"- +~("t.a.fifo_element[5].inack_ctl._y")->"t.a.fifo_element[5].inack_ctl.y"+ +~"t.a.fifo_element[5].buf_func.c1"&~"t.a.fifo_element[5].buf_func.c2"|~"t.a.fifo_element[5].buf_func.pr_B"->"t.a.fifo_element[5].buf_func._y"+ +"t.a.fifo_element[5].buf_func.c1"&"t.a.fifo_element[5].buf_func.c2"&"t.a.fifo_element[5].buf_func.n1"&"t.a.fifo_element[5].buf_func.sr_B"->"t.a.fifo_element[5].buf_func._y"- +"t.a.fifo_element[5].buf_func._y"->"t.a.fifo_element[5].buf_func.y"- +~("t.a.fifo_element[5].buf_func._y")->"t.a.fifo_element[5].buf_func.y"+ += "t.a.fifo_element[5].reset_B" "t.a.fifo_element[5].reset_buf.a" += "t.a.fifo_element[5].supply.vdd" "t.a.fifo_element[5].reset_buf.vdd" += "t.a.fifo_element[5].supply.vdd" "t.a.fifo_element[5].buf_func.vdd" += "t.a.fifo_element[5].supply.vdd" "t.a.fifo_element[5].inv_outa.vdd" += "t.a.fifo_element[5].supply.vdd" "t.a.fifo_element[5].en_ctl.vdd" += "t.a.fifo_element[5].supply.vdd" "t.a.fifo_element[5].inack_ctl.vdd" += "t.a.fifo_element[5].supply.vss" "t.a.fifo_element[5].reset_buf.vss" += "t.a.fifo_element[5].supply.vss" "t.a.fifo_element[5].buf_func.vss" += "t.a.fifo_element[5].supply.vss" "t.a.fifo_element[5].inv_outa.vss" += "t.a.fifo_element[5].supply.vss" "t.a.fifo_element[5].en_ctl.vss" += "t.a.fifo_element[5].supply.vss" "t.a.fifo_element[5].inack_ctl.vss" += "t.a.fifo_element[5]._reset_BX" "t.a.fifo_element[5].reset_buf.y" += "t.a.fifo_element[5]._reset_BX" "t.a.fifo_element[5].buf_func.sr_B" += "t.a.fifo_element[5]._reset_BX" "t.a.fifo_element[5].buf_func.pr_B" += "t.a.fifo_element[5]._reset_BX" "t.a.fifo_element[5].inack_ctl.sr_B" += "t.a.fifo_element[5]._reset_BX" "t.a.fifo_element[5].inack_ctl.pr_B" += "t.a.fifo_element[5]._en" "t.a.fifo_element[5].buf_func.c1" += "t.a.fifo_element[5]._en" "t.a.fifo_element[5].en_ctl.y" += "t.a.fifo_element[5]._en" "t.a.fifo_element[5].inack_ctl.c1" +~"t.a.fifo_element[5].en_ctl.p1"&~"t.a.fifo_element[5].en_ctl.c1"->"t.a.fifo_element[5].en_ctl.y"+ +"t.a.fifo_element[5].en_ctl.c1"->"t.a.fifo_element[5].en_ctl.y"- += "t.a.fifo_element[5]._out_a_B" "t.a.fifo_element[5].buf_func.c2" += "t.a.fifo_element[5]._out_a_B" "t.a.fifo_element[5].inv_outa.y" += "t.a.fifo_element[5].in.d.d[0]" "t.a.fifo_element[5].in.r" += "t.a.fifo_element[5].in.a" "t.a.fifo_element[5].en_ctl.c1" += "t.a.fifo_element[5].in.a" "t.a.fifo_element[5].inack_ctl.y" += "t.a.fifo_element[5].in.d.d[0]" "t.a.fifo_element[5].buf_func.n1" += "t.a.fifo_element[5].in.d.d[0]" "t.a.fifo_element[5].inack_ctl.c2" += "t.a.fifo_element[5].in.d.d[0]" "t.a.fifo_element[5].in.r" += "t.a.fifo_element[5].out.d.d[0]" "t.a.fifo_element[5].out.r" += "t.a.fifo_element[5].out.a" "t.a.fifo_element[5].inv_outa.a" += "t.a.fifo_element[5].out.d.d[0]" "t.a.fifo_element[5].buf_func.y" += "t.a.fifo_element[5].out.d.d[0]" "t.a.fifo_element[5].en_ctl.p1" += "t.a.fifo_element[5].out.d.d[0]" "t.a.fifo_element[5].inack_ctl.c3" += "t.a.fifo_element[5].out.d.d[0]" "t.a.fifo_element[5].out.r" +"t.a.fifo_element[6].reset_buf.a"->"t.a.fifo_element[6].reset_buf._y"- +~("t.a.fifo_element[6].reset_buf.a")->"t.a.fifo_element[6].reset_buf._y"+ +"t.a.fifo_element[6].reset_buf._y"->"t.a.fifo_element[6].reset_buf.y"- +~("t.a.fifo_element[6].reset_buf._y")->"t.a.fifo_element[6].reset_buf.y"+ +"t.a.fifo_element[6].inv_outa.a"->"t.a.fifo_element[6].inv_outa.y"- +~("t.a.fifo_element[6].inv_outa.a")->"t.a.fifo_element[6].inv_outa.y"+ +~"t.a.fifo_element[6].inack_ctl.c1"&~"t.a.fifo_element[6].inack_ctl.c2"&~"t.a.fifo_element[6].inack_ctl.c3"|~"t.a.fifo_element[6].inack_ctl.pr_B"->"t.a.fifo_element[6].inack_ctl._y"+ +"t.a.fifo_element[6].inack_ctl.c1"&"t.a.fifo_element[6].inack_ctl.c2"&"t.a.fifo_element[6].inack_ctl.c3"&"t.a.fifo_element[6].inack_ctl.sr_B"->"t.a.fifo_element[6].inack_ctl._y"- +"t.a.fifo_element[6].inack_ctl._y"->"t.a.fifo_element[6].inack_ctl.y"- +~("t.a.fifo_element[6].inack_ctl._y")->"t.a.fifo_element[6].inack_ctl.y"+ +~"t.a.fifo_element[6].buf_func.c1"&~"t.a.fifo_element[6].buf_func.c2"|~"t.a.fifo_element[6].buf_func.pr_B"->"t.a.fifo_element[6].buf_func._y"+ +"t.a.fifo_element[6].buf_func.c1"&"t.a.fifo_element[6].buf_func.c2"&"t.a.fifo_element[6].buf_func.n1"&"t.a.fifo_element[6].buf_func.sr_B"->"t.a.fifo_element[6].buf_func._y"- +"t.a.fifo_element[6].buf_func._y"->"t.a.fifo_element[6].buf_func.y"- +~("t.a.fifo_element[6].buf_func._y")->"t.a.fifo_element[6].buf_func.y"+ += "t.a.fifo_element[6].reset_B" "t.a.fifo_element[6].reset_buf.a" += "t.a.fifo_element[6].supply.vdd" "t.a.fifo_element[6].reset_buf.vdd" += "t.a.fifo_element[6].supply.vdd" "t.a.fifo_element[6].buf_func.vdd" += "t.a.fifo_element[6].supply.vdd" "t.a.fifo_element[6].inv_outa.vdd" += "t.a.fifo_element[6].supply.vdd" "t.a.fifo_element[6].en_ctl.vdd" += "t.a.fifo_element[6].supply.vdd" "t.a.fifo_element[6].inack_ctl.vdd" += "t.a.fifo_element[6].supply.vss" "t.a.fifo_element[6].reset_buf.vss" += "t.a.fifo_element[6].supply.vss" "t.a.fifo_element[6].buf_func.vss" += "t.a.fifo_element[6].supply.vss" "t.a.fifo_element[6].inv_outa.vss" += "t.a.fifo_element[6].supply.vss" "t.a.fifo_element[6].en_ctl.vss" += "t.a.fifo_element[6].supply.vss" "t.a.fifo_element[6].inack_ctl.vss" += "t.a.fifo_element[6]._reset_BX" "t.a.fifo_element[6].reset_buf.y" += "t.a.fifo_element[6]._reset_BX" "t.a.fifo_element[6].buf_func.sr_B" += "t.a.fifo_element[6]._reset_BX" "t.a.fifo_element[6].buf_func.pr_B" += "t.a.fifo_element[6]._reset_BX" "t.a.fifo_element[6].inack_ctl.sr_B" += "t.a.fifo_element[6]._reset_BX" "t.a.fifo_element[6].inack_ctl.pr_B" += "t.a.fifo_element[6]._en" "t.a.fifo_element[6].buf_func.c1" += "t.a.fifo_element[6]._en" "t.a.fifo_element[6].en_ctl.y" += "t.a.fifo_element[6]._en" "t.a.fifo_element[6].inack_ctl.c1" +~"t.a.fifo_element[6].en_ctl.p1"&~"t.a.fifo_element[6].en_ctl.c1"->"t.a.fifo_element[6].en_ctl.y"+ +"t.a.fifo_element[6].en_ctl.c1"->"t.a.fifo_element[6].en_ctl.y"- += "t.a.fifo_element[6]._out_a_B" "t.a.fifo_element[6].buf_func.c2" += "t.a.fifo_element[6]._out_a_B" "t.a.fifo_element[6].inv_outa.y" += "t.a.fifo_element[6].in.d.d[0]" "t.a.fifo_element[6].in.r" += "t.a.fifo_element[6].in.a" "t.a.fifo_element[6].en_ctl.c1" += "t.a.fifo_element[6].in.a" "t.a.fifo_element[6].inack_ctl.y" += "t.a.fifo_element[6].in.d.d[0]" "t.a.fifo_element[6].buf_func.n1" += "t.a.fifo_element[6].in.d.d[0]" "t.a.fifo_element[6].inack_ctl.c2" += "t.a.fifo_element[6].in.d.d[0]" "t.a.fifo_element[6].in.r" += "t.a.fifo_element[6].out.d.d[0]" "t.a.fifo_element[6].out.r" += "t.a.fifo_element[6].out.a" "t.a.fifo_element[6].inv_outa.a" += "t.a.fifo_element[6].out.d.d[0]" "t.a.fifo_element[6].buf_func.y" += "t.a.fifo_element[6].out.d.d[0]" "t.a.fifo_element[6].en_ctl.p1" += "t.a.fifo_element[6].out.d.d[0]" "t.a.fifo_element[6].inack_ctl.c3" += "t.a.fifo_element[6].out.d.d[0]" "t.a.fifo_element[6].out.r" +"t.a.fifo_element[7].reset_buf.a"->"t.a.fifo_element[7].reset_buf._y"- +~("t.a.fifo_element[7].reset_buf.a")->"t.a.fifo_element[7].reset_buf._y"+ +"t.a.fifo_element[7].reset_buf._y"->"t.a.fifo_element[7].reset_buf.y"- +~("t.a.fifo_element[7].reset_buf._y")->"t.a.fifo_element[7].reset_buf.y"+ +"t.a.fifo_element[7].inv_outa.a"->"t.a.fifo_element[7].inv_outa.y"- +~("t.a.fifo_element[7].inv_outa.a")->"t.a.fifo_element[7].inv_outa.y"+ +~"t.a.fifo_element[7].inack_ctl.c1"&~"t.a.fifo_element[7].inack_ctl.c2"&~"t.a.fifo_element[7].inack_ctl.c3"|~"t.a.fifo_element[7].inack_ctl.pr_B"->"t.a.fifo_element[7].inack_ctl._y"+ +"t.a.fifo_element[7].inack_ctl.c1"&"t.a.fifo_element[7].inack_ctl.c2"&"t.a.fifo_element[7].inack_ctl.c3"&"t.a.fifo_element[7].inack_ctl.sr_B"->"t.a.fifo_element[7].inack_ctl._y"- +"t.a.fifo_element[7].inack_ctl._y"->"t.a.fifo_element[7].inack_ctl.y"- +~("t.a.fifo_element[7].inack_ctl._y")->"t.a.fifo_element[7].inack_ctl.y"+ +~"t.a.fifo_element[7].buf_func.c1"&~"t.a.fifo_element[7].buf_func.c2"|~"t.a.fifo_element[7].buf_func.pr_B"->"t.a.fifo_element[7].buf_func._y"+ +"t.a.fifo_element[7].buf_func.c1"&"t.a.fifo_element[7].buf_func.c2"&"t.a.fifo_element[7].buf_func.n1"&"t.a.fifo_element[7].buf_func.sr_B"->"t.a.fifo_element[7].buf_func._y"- +"t.a.fifo_element[7].buf_func._y"->"t.a.fifo_element[7].buf_func.y"- +~("t.a.fifo_element[7].buf_func._y")->"t.a.fifo_element[7].buf_func.y"+ += "t.a.fifo_element[7].reset_B" "t.a.fifo_element[7].reset_buf.a" += "t.a.fifo_element[7].supply.vdd" "t.a.fifo_element[7].reset_buf.vdd" += "t.a.fifo_element[7].supply.vdd" "t.a.fifo_element[7].buf_func.vdd" += "t.a.fifo_element[7].supply.vdd" "t.a.fifo_element[7].inv_outa.vdd" += "t.a.fifo_element[7].supply.vdd" "t.a.fifo_element[7].en_ctl.vdd" += "t.a.fifo_element[7].supply.vdd" "t.a.fifo_element[7].inack_ctl.vdd" += "t.a.fifo_element[7].supply.vss" "t.a.fifo_element[7].reset_buf.vss" += "t.a.fifo_element[7].supply.vss" "t.a.fifo_element[7].buf_func.vss" += "t.a.fifo_element[7].supply.vss" "t.a.fifo_element[7].inv_outa.vss" += "t.a.fifo_element[7].supply.vss" "t.a.fifo_element[7].en_ctl.vss" += "t.a.fifo_element[7].supply.vss" "t.a.fifo_element[7].inack_ctl.vss" += "t.a.fifo_element[7]._reset_BX" "t.a.fifo_element[7].reset_buf.y" += "t.a.fifo_element[7]._reset_BX" "t.a.fifo_element[7].buf_func.sr_B" += "t.a.fifo_element[7]._reset_BX" "t.a.fifo_element[7].buf_func.pr_B" += "t.a.fifo_element[7]._reset_BX" "t.a.fifo_element[7].inack_ctl.sr_B" += "t.a.fifo_element[7]._reset_BX" "t.a.fifo_element[7].inack_ctl.pr_B" += "t.a.fifo_element[7]._en" "t.a.fifo_element[7].buf_func.c1" += "t.a.fifo_element[7]._en" "t.a.fifo_element[7].en_ctl.y" += "t.a.fifo_element[7]._en" "t.a.fifo_element[7].inack_ctl.c1" +~"t.a.fifo_element[7].en_ctl.p1"&~"t.a.fifo_element[7].en_ctl.c1"->"t.a.fifo_element[7].en_ctl.y"+ +"t.a.fifo_element[7].en_ctl.c1"->"t.a.fifo_element[7].en_ctl.y"- += "t.a.fifo_element[7]._out_a_B" "t.a.fifo_element[7].buf_func.c2" += "t.a.fifo_element[7]._out_a_B" "t.a.fifo_element[7].inv_outa.y" += "t.a.fifo_element[7].in.d.d[0]" "t.a.fifo_element[7].in.r" += "t.a.fifo_element[7].in.a" "t.a.fifo_element[7].en_ctl.c1" += "t.a.fifo_element[7].in.a" "t.a.fifo_element[7].inack_ctl.y" += "t.a.fifo_element[7].in.d.d[0]" "t.a.fifo_element[7].buf_func.n1" += "t.a.fifo_element[7].in.d.d[0]" "t.a.fifo_element[7].inack_ctl.c2" += "t.a.fifo_element[7].in.d.d[0]" "t.a.fifo_element[7].in.r" += "t.a.fifo_element[7].out.d.d[0]" "t.a.fifo_element[7].out.r" += "t.a.fifo_element[7].out.a" "t.a.fifo_element[7].inv_outa.a" += "t.a.fifo_element[7].out.d.d[0]" "t.a.fifo_element[7].buf_func.y" += "t.a.fifo_element[7].out.d.d[0]" "t.a.fifo_element[7].en_ctl.p1" += "t.a.fifo_element[7].out.d.d[0]" "t.a.fifo_element[7].inack_ctl.c3" += "t.a.fifo_element[7].out.d.d[0]" "t.a.fifo_element[7].out.r" +"t.a.fifo_element[8].reset_buf.a"->"t.a.fifo_element[8].reset_buf._y"- +~("t.a.fifo_element[8].reset_buf.a")->"t.a.fifo_element[8].reset_buf._y"+ +"t.a.fifo_element[8].reset_buf._y"->"t.a.fifo_element[8].reset_buf.y"- +~("t.a.fifo_element[8].reset_buf._y")->"t.a.fifo_element[8].reset_buf.y"+ +"t.a.fifo_element[8].inv_outa.a"->"t.a.fifo_element[8].inv_outa.y"- +~("t.a.fifo_element[8].inv_outa.a")->"t.a.fifo_element[8].inv_outa.y"+ +~"t.a.fifo_element[8].inack_ctl.c1"&~"t.a.fifo_element[8].inack_ctl.c2"&~"t.a.fifo_element[8].inack_ctl.c3"|~"t.a.fifo_element[8].inack_ctl.pr_B"->"t.a.fifo_element[8].inack_ctl._y"+ +"t.a.fifo_element[8].inack_ctl.c1"&"t.a.fifo_element[8].inack_ctl.c2"&"t.a.fifo_element[8].inack_ctl.c3"&"t.a.fifo_element[8].inack_ctl.sr_B"->"t.a.fifo_element[8].inack_ctl._y"- +"t.a.fifo_element[8].inack_ctl._y"->"t.a.fifo_element[8].inack_ctl.y"- +~("t.a.fifo_element[8].inack_ctl._y")->"t.a.fifo_element[8].inack_ctl.y"+ +~"t.a.fifo_element[8].buf_func.c1"&~"t.a.fifo_element[8].buf_func.c2"|~"t.a.fifo_element[8].buf_func.pr_B"->"t.a.fifo_element[8].buf_func._y"+ +"t.a.fifo_element[8].buf_func.c1"&"t.a.fifo_element[8].buf_func.c2"&"t.a.fifo_element[8].buf_func.n1"&"t.a.fifo_element[8].buf_func.sr_B"->"t.a.fifo_element[8].buf_func._y"- +"t.a.fifo_element[8].buf_func._y"->"t.a.fifo_element[8].buf_func.y"- +~("t.a.fifo_element[8].buf_func._y")->"t.a.fifo_element[8].buf_func.y"+ += "t.a.fifo_element[8].reset_B" "t.a.fifo_element[8].reset_buf.a" += "t.a.fifo_element[8].supply.vdd" "t.a.fifo_element[8].reset_buf.vdd" += "t.a.fifo_element[8].supply.vdd" "t.a.fifo_element[8].buf_func.vdd" += "t.a.fifo_element[8].supply.vdd" "t.a.fifo_element[8].inv_outa.vdd" += "t.a.fifo_element[8].supply.vdd" "t.a.fifo_element[8].en_ctl.vdd" += "t.a.fifo_element[8].supply.vdd" "t.a.fifo_element[8].inack_ctl.vdd" += "t.a.fifo_element[8].supply.vss" "t.a.fifo_element[8].reset_buf.vss" += "t.a.fifo_element[8].supply.vss" "t.a.fifo_element[8].buf_func.vss" += "t.a.fifo_element[8].supply.vss" "t.a.fifo_element[8].inv_outa.vss" += "t.a.fifo_element[8].supply.vss" "t.a.fifo_element[8].en_ctl.vss" += "t.a.fifo_element[8].supply.vss" "t.a.fifo_element[8].inack_ctl.vss" += "t.a.fifo_element[8]._reset_BX" "t.a.fifo_element[8].reset_buf.y" += "t.a.fifo_element[8]._reset_BX" "t.a.fifo_element[8].buf_func.sr_B" += "t.a.fifo_element[8]._reset_BX" "t.a.fifo_element[8].buf_func.pr_B" += "t.a.fifo_element[8]._reset_BX" "t.a.fifo_element[8].inack_ctl.sr_B" += "t.a.fifo_element[8]._reset_BX" "t.a.fifo_element[8].inack_ctl.pr_B" += "t.a.fifo_element[8]._en" "t.a.fifo_element[8].buf_func.c1" += "t.a.fifo_element[8]._en" "t.a.fifo_element[8].en_ctl.y" += "t.a.fifo_element[8]._en" "t.a.fifo_element[8].inack_ctl.c1" +~"t.a.fifo_element[8].en_ctl.p1"&~"t.a.fifo_element[8].en_ctl.c1"->"t.a.fifo_element[8].en_ctl.y"+ +"t.a.fifo_element[8].en_ctl.c1"->"t.a.fifo_element[8].en_ctl.y"- += "t.a.fifo_element[8]._out_a_B" "t.a.fifo_element[8].buf_func.c2" += "t.a.fifo_element[8]._out_a_B" "t.a.fifo_element[8].inv_outa.y" += "t.a.fifo_element[8].in.d.d[0]" "t.a.fifo_element[8].in.r" += "t.a.fifo_element[8].in.a" "t.a.fifo_element[8].en_ctl.c1" += "t.a.fifo_element[8].in.a" "t.a.fifo_element[8].inack_ctl.y" += "t.a.fifo_element[8].in.d.d[0]" "t.a.fifo_element[8].buf_func.n1" += "t.a.fifo_element[8].in.d.d[0]" "t.a.fifo_element[8].inack_ctl.c2" += "t.a.fifo_element[8].in.d.d[0]" "t.a.fifo_element[8].in.r" += "t.a.fifo_element[8].out.d.d[0]" "t.a.fifo_element[8].out.r" += "t.a.fifo_element[8].out.a" "t.a.fifo_element[8].inv_outa.a" += "t.a.fifo_element[8].out.d.d[0]" "t.a.fifo_element[8].buf_func.y" += "t.a.fifo_element[8].out.d.d[0]" "t.a.fifo_element[8].en_ctl.p1" += "t.a.fifo_element[8].out.d.d[0]" "t.a.fifo_element[8].inack_ctl.c3" += "t.a.fifo_element[8].out.d.d[0]" "t.a.fifo_element[8].out.r" +"t.a.fifo_element[9].reset_buf.a"->"t.a.fifo_element[9].reset_buf._y"- +~("t.a.fifo_element[9].reset_buf.a")->"t.a.fifo_element[9].reset_buf._y"+ +"t.a.fifo_element[9].reset_buf._y"->"t.a.fifo_element[9].reset_buf.y"- +~("t.a.fifo_element[9].reset_buf._y")->"t.a.fifo_element[9].reset_buf.y"+ +"t.a.fifo_element[9].inv_outa.a"->"t.a.fifo_element[9].inv_outa.y"- +~("t.a.fifo_element[9].inv_outa.a")->"t.a.fifo_element[9].inv_outa.y"+ +~"t.a.fifo_element[9].inack_ctl.c1"&~"t.a.fifo_element[9].inack_ctl.c2"&~"t.a.fifo_element[9].inack_ctl.c3"|~"t.a.fifo_element[9].inack_ctl.pr_B"->"t.a.fifo_element[9].inack_ctl._y"+ +"t.a.fifo_element[9].inack_ctl.c1"&"t.a.fifo_element[9].inack_ctl.c2"&"t.a.fifo_element[9].inack_ctl.c3"&"t.a.fifo_element[9].inack_ctl.sr_B"->"t.a.fifo_element[9].inack_ctl._y"- +"t.a.fifo_element[9].inack_ctl._y"->"t.a.fifo_element[9].inack_ctl.y"- +~("t.a.fifo_element[9].inack_ctl._y")->"t.a.fifo_element[9].inack_ctl.y"+ +~"t.a.fifo_element[9].buf_func.c1"&~"t.a.fifo_element[9].buf_func.c2"|~"t.a.fifo_element[9].buf_func.pr_B"->"t.a.fifo_element[9].buf_func._y"+ +"t.a.fifo_element[9].buf_func.c1"&"t.a.fifo_element[9].buf_func.c2"&"t.a.fifo_element[9].buf_func.n1"&"t.a.fifo_element[9].buf_func.sr_B"->"t.a.fifo_element[9].buf_func._y"- +"t.a.fifo_element[9].buf_func._y"->"t.a.fifo_element[9].buf_func.y"- +~("t.a.fifo_element[9].buf_func._y")->"t.a.fifo_element[9].buf_func.y"+ += "t.a.fifo_element[9].reset_B" "t.a.fifo_element[9].reset_buf.a" += "t.a.fifo_element[9].supply.vdd" "t.a.fifo_element[9].reset_buf.vdd" += "t.a.fifo_element[9].supply.vdd" "t.a.fifo_element[9].buf_func.vdd" += "t.a.fifo_element[9].supply.vdd" "t.a.fifo_element[9].inv_outa.vdd" += "t.a.fifo_element[9].supply.vdd" "t.a.fifo_element[9].en_ctl.vdd" += "t.a.fifo_element[9].supply.vdd" "t.a.fifo_element[9].inack_ctl.vdd" += "t.a.fifo_element[9].supply.vss" "t.a.fifo_element[9].reset_buf.vss" += "t.a.fifo_element[9].supply.vss" "t.a.fifo_element[9].buf_func.vss" += "t.a.fifo_element[9].supply.vss" "t.a.fifo_element[9].inv_outa.vss" += "t.a.fifo_element[9].supply.vss" "t.a.fifo_element[9].en_ctl.vss" += "t.a.fifo_element[9].supply.vss" "t.a.fifo_element[9].inack_ctl.vss" += "t.a.fifo_element[9]._reset_BX" "t.a.fifo_element[9].reset_buf.y" += "t.a.fifo_element[9]._reset_BX" "t.a.fifo_element[9].buf_func.sr_B" += "t.a.fifo_element[9]._reset_BX" "t.a.fifo_element[9].buf_func.pr_B" += "t.a.fifo_element[9]._reset_BX" "t.a.fifo_element[9].inack_ctl.sr_B" += "t.a.fifo_element[9]._reset_BX" "t.a.fifo_element[9].inack_ctl.pr_B" += "t.a.fifo_element[9]._en" "t.a.fifo_element[9].buf_func.c1" += "t.a.fifo_element[9]._en" "t.a.fifo_element[9].en_ctl.y" += "t.a.fifo_element[9]._en" "t.a.fifo_element[9].inack_ctl.c1" +~"t.a.fifo_element[9].en_ctl.p1"&~"t.a.fifo_element[9].en_ctl.c1"->"t.a.fifo_element[9].en_ctl.y"+ +"t.a.fifo_element[9].en_ctl.c1"->"t.a.fifo_element[9].en_ctl.y"- += "t.a.fifo_element[9]._out_a_B" "t.a.fifo_element[9].buf_func.c2" += "t.a.fifo_element[9]._out_a_B" "t.a.fifo_element[9].inv_outa.y" += "t.a.fifo_element[9].in.d.d[0]" "t.a.fifo_element[9].in.r" += "t.a.fifo_element[9].in.a" "t.a.fifo_element[9].en_ctl.c1" += "t.a.fifo_element[9].in.a" "t.a.fifo_element[9].inack_ctl.y" += "t.a.fifo_element[9].in.d.d[0]" "t.a.fifo_element[9].buf_func.n1" += "t.a.fifo_element[9].in.d.d[0]" "t.a.fifo_element[9].inack_ctl.c2" += "t.a.fifo_element[9].in.d.d[0]" "t.a.fifo_element[9].in.r" += "t.a.fifo_element[9].out.d.d[0]" "t.a.fifo_element[9].out.r" += "t.a.fifo_element[9].out.a" "t.a.fifo_element[9].inv_outa.a" += "t.a.fifo_element[9].out.d.d[0]" "t.a.fifo_element[9].buf_func.y" += "t.a.fifo_element[9].out.d.d[0]" "t.a.fifo_element[9].en_ctl.p1" += "t.a.fifo_element[9].out.d.d[0]" "t.a.fifo_element[9].inack_ctl.c3" += "t.a.fifo_element[9].out.d.d[0]" "t.a.fifo_element[9].out.r" +"t.a.fifo_element[10].reset_buf.a"->"t.a.fifo_element[10].reset_buf._y"- +~("t.a.fifo_element[10].reset_buf.a")->"t.a.fifo_element[10].reset_buf._y"+ +"t.a.fifo_element[10].reset_buf._y"->"t.a.fifo_element[10].reset_buf.y"- +~("t.a.fifo_element[10].reset_buf._y")->"t.a.fifo_element[10].reset_buf.y"+ +"t.a.fifo_element[10].inv_outa.a"->"t.a.fifo_element[10].inv_outa.y"- +~("t.a.fifo_element[10].inv_outa.a")->"t.a.fifo_element[10].inv_outa.y"+ +~"t.a.fifo_element[10].inack_ctl.c1"&~"t.a.fifo_element[10].inack_ctl.c2"&~"t.a.fifo_element[10].inack_ctl.c3"|~"t.a.fifo_element[10].inack_ctl.pr_B"->"t.a.fifo_element[10].inack_ctl._y"+ +"t.a.fifo_element[10].inack_ctl.c1"&"t.a.fifo_element[10].inack_ctl.c2"&"t.a.fifo_element[10].inack_ctl.c3"&"t.a.fifo_element[10].inack_ctl.sr_B"->"t.a.fifo_element[10].inack_ctl._y"- +"t.a.fifo_element[10].inack_ctl._y"->"t.a.fifo_element[10].inack_ctl.y"- +~("t.a.fifo_element[10].inack_ctl._y")->"t.a.fifo_element[10].inack_ctl.y"+ +~"t.a.fifo_element[10].buf_func.c1"&~"t.a.fifo_element[10].buf_func.c2"|~"t.a.fifo_element[10].buf_func.pr_B"->"t.a.fifo_element[10].buf_func._y"+ +"t.a.fifo_element[10].buf_func.c1"&"t.a.fifo_element[10].buf_func.c2"&"t.a.fifo_element[10].buf_func.n1"&"t.a.fifo_element[10].buf_func.sr_B"->"t.a.fifo_element[10].buf_func._y"- +"t.a.fifo_element[10].buf_func._y"->"t.a.fifo_element[10].buf_func.y"- +~("t.a.fifo_element[10].buf_func._y")->"t.a.fifo_element[10].buf_func.y"+ += "t.a.fifo_element[10].reset_B" "t.a.fifo_element[10].reset_buf.a" += "t.a.fifo_element[10].supply.vdd" "t.a.fifo_element[10].reset_buf.vdd" += "t.a.fifo_element[10].supply.vdd" "t.a.fifo_element[10].buf_func.vdd" += "t.a.fifo_element[10].supply.vdd" "t.a.fifo_element[10].inv_outa.vdd" += "t.a.fifo_element[10].supply.vdd" "t.a.fifo_element[10].en_ctl.vdd" += "t.a.fifo_element[10].supply.vdd" "t.a.fifo_element[10].inack_ctl.vdd" += "t.a.fifo_element[10].supply.vss" "t.a.fifo_element[10].reset_buf.vss" += "t.a.fifo_element[10].supply.vss" "t.a.fifo_element[10].buf_func.vss" += "t.a.fifo_element[10].supply.vss" "t.a.fifo_element[10].inv_outa.vss" += "t.a.fifo_element[10].supply.vss" "t.a.fifo_element[10].en_ctl.vss" += "t.a.fifo_element[10].supply.vss" "t.a.fifo_element[10].inack_ctl.vss" += "t.a.fifo_element[10]._reset_BX" "t.a.fifo_element[10].reset_buf.y" += "t.a.fifo_element[10]._reset_BX" "t.a.fifo_element[10].buf_func.sr_B" += "t.a.fifo_element[10]._reset_BX" "t.a.fifo_element[10].buf_func.pr_B" += "t.a.fifo_element[10]._reset_BX" "t.a.fifo_element[10].inack_ctl.sr_B" += "t.a.fifo_element[10]._reset_BX" "t.a.fifo_element[10].inack_ctl.pr_B" += "t.a.fifo_element[10]._en" "t.a.fifo_element[10].buf_func.c1" += "t.a.fifo_element[10]._en" "t.a.fifo_element[10].en_ctl.y" += "t.a.fifo_element[10]._en" "t.a.fifo_element[10].inack_ctl.c1" +~"t.a.fifo_element[10].en_ctl.p1"&~"t.a.fifo_element[10].en_ctl.c1"->"t.a.fifo_element[10].en_ctl.y"+ +"t.a.fifo_element[10].en_ctl.c1"->"t.a.fifo_element[10].en_ctl.y"- += "t.a.fifo_element[10]._out_a_B" "t.a.fifo_element[10].buf_func.c2" += "t.a.fifo_element[10]._out_a_B" "t.a.fifo_element[10].inv_outa.y" += "t.a.fifo_element[10].in.d.d[0]" "t.a.fifo_element[10].in.r" += "t.a.fifo_element[10].in.a" "t.a.fifo_element[10].en_ctl.c1" += "t.a.fifo_element[10].in.a" "t.a.fifo_element[10].inack_ctl.y" += "t.a.fifo_element[10].in.d.d[0]" "t.a.fifo_element[10].buf_func.n1" += "t.a.fifo_element[10].in.d.d[0]" "t.a.fifo_element[10].inack_ctl.c2" += "t.a.fifo_element[10].in.d.d[0]" "t.a.fifo_element[10].in.r" += "t.a.fifo_element[10].out.d.d[0]" "t.a.fifo_element[10].out.r" += "t.a.fifo_element[10].out.a" "t.a.fifo_element[10].inv_outa.a" += "t.a.fifo_element[10].out.d.d[0]" "t.a.fifo_element[10].buf_func.y" += "t.a.fifo_element[10].out.d.d[0]" "t.a.fifo_element[10].en_ctl.p1" += "t.a.fifo_element[10].out.d.d[0]" "t.a.fifo_element[10].inack_ctl.c3" += "t.a.fifo_element[10].out.d.d[0]" "t.a.fifo_element[10].out.r" +"t.a.fifo_element[11].reset_buf.a"->"t.a.fifo_element[11].reset_buf._y"- +~("t.a.fifo_element[11].reset_buf.a")->"t.a.fifo_element[11].reset_buf._y"+ +"t.a.fifo_element[11].reset_buf._y"->"t.a.fifo_element[11].reset_buf.y"- +~("t.a.fifo_element[11].reset_buf._y")->"t.a.fifo_element[11].reset_buf.y"+ +"t.a.fifo_element[11].inv_outa.a"->"t.a.fifo_element[11].inv_outa.y"- +~("t.a.fifo_element[11].inv_outa.a")->"t.a.fifo_element[11].inv_outa.y"+ +~"t.a.fifo_element[11].inack_ctl.c1"&~"t.a.fifo_element[11].inack_ctl.c2"&~"t.a.fifo_element[11].inack_ctl.c3"|~"t.a.fifo_element[11].inack_ctl.pr_B"->"t.a.fifo_element[11].inack_ctl._y"+ +"t.a.fifo_element[11].inack_ctl.c1"&"t.a.fifo_element[11].inack_ctl.c2"&"t.a.fifo_element[11].inack_ctl.c3"&"t.a.fifo_element[11].inack_ctl.sr_B"->"t.a.fifo_element[11].inack_ctl._y"- +"t.a.fifo_element[11].inack_ctl._y"->"t.a.fifo_element[11].inack_ctl.y"- +~("t.a.fifo_element[11].inack_ctl._y")->"t.a.fifo_element[11].inack_ctl.y"+ +~"t.a.fifo_element[11].buf_func.c1"&~"t.a.fifo_element[11].buf_func.c2"|~"t.a.fifo_element[11].buf_func.pr_B"->"t.a.fifo_element[11].buf_func._y"+ +"t.a.fifo_element[11].buf_func.c1"&"t.a.fifo_element[11].buf_func.c2"&"t.a.fifo_element[11].buf_func.n1"&"t.a.fifo_element[11].buf_func.sr_B"->"t.a.fifo_element[11].buf_func._y"- +"t.a.fifo_element[11].buf_func._y"->"t.a.fifo_element[11].buf_func.y"- +~("t.a.fifo_element[11].buf_func._y")->"t.a.fifo_element[11].buf_func.y"+ += "t.a.fifo_element[11].reset_B" "t.a.fifo_element[11].reset_buf.a" += "t.a.fifo_element[11].supply.vdd" "t.a.fifo_element[11].reset_buf.vdd" += "t.a.fifo_element[11].supply.vdd" "t.a.fifo_element[11].buf_func.vdd" += "t.a.fifo_element[11].supply.vdd" "t.a.fifo_element[11].inv_outa.vdd" += "t.a.fifo_element[11].supply.vdd" "t.a.fifo_element[11].en_ctl.vdd" += "t.a.fifo_element[11].supply.vdd" "t.a.fifo_element[11].inack_ctl.vdd" += "t.a.fifo_element[11].supply.vss" "t.a.fifo_element[11].reset_buf.vss" += "t.a.fifo_element[11].supply.vss" "t.a.fifo_element[11].buf_func.vss" += "t.a.fifo_element[11].supply.vss" "t.a.fifo_element[11].inv_outa.vss" += "t.a.fifo_element[11].supply.vss" "t.a.fifo_element[11].en_ctl.vss" += "t.a.fifo_element[11].supply.vss" "t.a.fifo_element[11].inack_ctl.vss" += "t.a.fifo_element[11]._reset_BX" "t.a.fifo_element[11].reset_buf.y" += "t.a.fifo_element[11]._reset_BX" "t.a.fifo_element[11].buf_func.sr_B" += "t.a.fifo_element[11]._reset_BX" "t.a.fifo_element[11].buf_func.pr_B" += "t.a.fifo_element[11]._reset_BX" "t.a.fifo_element[11].inack_ctl.sr_B" += "t.a.fifo_element[11]._reset_BX" "t.a.fifo_element[11].inack_ctl.pr_B" += "t.a.fifo_element[11]._en" "t.a.fifo_element[11].buf_func.c1" += "t.a.fifo_element[11]._en" "t.a.fifo_element[11].en_ctl.y" += "t.a.fifo_element[11]._en" "t.a.fifo_element[11].inack_ctl.c1" +~"t.a.fifo_element[11].en_ctl.p1"&~"t.a.fifo_element[11].en_ctl.c1"->"t.a.fifo_element[11].en_ctl.y"+ +"t.a.fifo_element[11].en_ctl.c1"->"t.a.fifo_element[11].en_ctl.y"- += "t.a.fifo_element[11]._out_a_B" "t.a.fifo_element[11].buf_func.c2" += "t.a.fifo_element[11]._out_a_B" "t.a.fifo_element[11].inv_outa.y" += "t.a.fifo_element[11].in.d.d[0]" "t.a.fifo_element[11].in.r" += "t.a.fifo_element[11].in.a" "t.a.fifo_element[11].en_ctl.c1" += "t.a.fifo_element[11].in.a" "t.a.fifo_element[11].inack_ctl.y" += "t.a.fifo_element[11].in.d.d[0]" "t.a.fifo_element[11].buf_func.n1" += "t.a.fifo_element[11].in.d.d[0]" "t.a.fifo_element[11].inack_ctl.c2" += "t.a.fifo_element[11].in.d.d[0]" "t.a.fifo_element[11].in.r" += "t.a.fifo_element[11].out.d.d[0]" "t.a.fifo_element[11].out.r" += "t.a.fifo_element[11].out.a" "t.a.fifo_element[11].inv_outa.a" += "t.a.fifo_element[11].out.d.d[0]" "t.a.fifo_element[11].buf_func.y" += "t.a.fifo_element[11].out.d.d[0]" "t.a.fifo_element[11].en_ctl.p1" += "t.a.fifo_element[11].out.d.d[0]" "t.a.fifo_element[11].inack_ctl.c3" += "t.a.fifo_element[11].out.d.d[0]" "t.a.fifo_element[11].out.r" +"t.a.fifo_element[12].reset_buf.a"->"t.a.fifo_element[12].reset_buf._y"- +~("t.a.fifo_element[12].reset_buf.a")->"t.a.fifo_element[12].reset_buf._y"+ +"t.a.fifo_element[12].reset_buf._y"->"t.a.fifo_element[12].reset_buf.y"- +~("t.a.fifo_element[12].reset_buf._y")->"t.a.fifo_element[12].reset_buf.y"+ +"t.a.fifo_element[12].inv_outa.a"->"t.a.fifo_element[12].inv_outa.y"- +~("t.a.fifo_element[12].inv_outa.a")->"t.a.fifo_element[12].inv_outa.y"+ +~"t.a.fifo_element[12].inack_ctl.c1"&~"t.a.fifo_element[12].inack_ctl.c2"&~"t.a.fifo_element[12].inack_ctl.c3"|~"t.a.fifo_element[12].inack_ctl.pr_B"->"t.a.fifo_element[12].inack_ctl._y"+ +"t.a.fifo_element[12].inack_ctl.c1"&"t.a.fifo_element[12].inack_ctl.c2"&"t.a.fifo_element[12].inack_ctl.c3"&"t.a.fifo_element[12].inack_ctl.sr_B"->"t.a.fifo_element[12].inack_ctl._y"- +"t.a.fifo_element[12].inack_ctl._y"->"t.a.fifo_element[12].inack_ctl.y"- +~("t.a.fifo_element[12].inack_ctl._y")->"t.a.fifo_element[12].inack_ctl.y"+ +~"t.a.fifo_element[12].buf_func.c1"&~"t.a.fifo_element[12].buf_func.c2"|~"t.a.fifo_element[12].buf_func.pr_B"->"t.a.fifo_element[12].buf_func._y"+ +"t.a.fifo_element[12].buf_func.c1"&"t.a.fifo_element[12].buf_func.c2"&"t.a.fifo_element[12].buf_func.n1"&"t.a.fifo_element[12].buf_func.sr_B"->"t.a.fifo_element[12].buf_func._y"- +"t.a.fifo_element[12].buf_func._y"->"t.a.fifo_element[12].buf_func.y"- +~("t.a.fifo_element[12].buf_func._y")->"t.a.fifo_element[12].buf_func.y"+ += "t.a.fifo_element[12].reset_B" "t.a.fifo_element[12].reset_buf.a" += "t.a.fifo_element[12].supply.vdd" "t.a.fifo_element[12].reset_buf.vdd" += "t.a.fifo_element[12].supply.vdd" "t.a.fifo_element[12].buf_func.vdd" += "t.a.fifo_element[12].supply.vdd" "t.a.fifo_element[12].inv_outa.vdd" += "t.a.fifo_element[12].supply.vdd" "t.a.fifo_element[12].en_ctl.vdd" += "t.a.fifo_element[12].supply.vdd" "t.a.fifo_element[12].inack_ctl.vdd" += "t.a.fifo_element[12].supply.vss" "t.a.fifo_element[12].reset_buf.vss" += "t.a.fifo_element[12].supply.vss" "t.a.fifo_element[12].buf_func.vss" += "t.a.fifo_element[12].supply.vss" "t.a.fifo_element[12].inv_outa.vss" += "t.a.fifo_element[12].supply.vss" "t.a.fifo_element[12].en_ctl.vss" += "t.a.fifo_element[12].supply.vss" "t.a.fifo_element[12].inack_ctl.vss" += "t.a.fifo_element[12]._reset_BX" "t.a.fifo_element[12].reset_buf.y" += "t.a.fifo_element[12]._reset_BX" "t.a.fifo_element[12].buf_func.sr_B" += "t.a.fifo_element[12]._reset_BX" "t.a.fifo_element[12].buf_func.pr_B" += "t.a.fifo_element[12]._reset_BX" "t.a.fifo_element[12].inack_ctl.sr_B" += "t.a.fifo_element[12]._reset_BX" "t.a.fifo_element[12].inack_ctl.pr_B" += "t.a.fifo_element[12]._en" "t.a.fifo_element[12].buf_func.c1" += "t.a.fifo_element[12]._en" "t.a.fifo_element[12].en_ctl.y" += "t.a.fifo_element[12]._en" "t.a.fifo_element[12].inack_ctl.c1" +~"t.a.fifo_element[12].en_ctl.p1"&~"t.a.fifo_element[12].en_ctl.c1"->"t.a.fifo_element[12].en_ctl.y"+ +"t.a.fifo_element[12].en_ctl.c1"->"t.a.fifo_element[12].en_ctl.y"- += "t.a.fifo_element[12]._out_a_B" "t.a.fifo_element[12].buf_func.c2" += "t.a.fifo_element[12]._out_a_B" "t.a.fifo_element[12].inv_outa.y" += "t.a.fifo_element[12].in.d.d[0]" "t.a.fifo_element[12].in.r" += "t.a.fifo_element[12].in.a" "t.a.fifo_element[12].en_ctl.c1" += "t.a.fifo_element[12].in.a" "t.a.fifo_element[12].inack_ctl.y" += "t.a.fifo_element[12].in.d.d[0]" "t.a.fifo_element[12].buf_func.n1" += "t.a.fifo_element[12].in.d.d[0]" "t.a.fifo_element[12].inack_ctl.c2" += "t.a.fifo_element[12].in.d.d[0]" "t.a.fifo_element[12].in.r" += "t.a.fifo_element[12].out.d.d[0]" "t.a.fifo_element[12].out.r" += "t.a.fifo_element[12].out.a" "t.a.fifo_element[12].inv_outa.a" += "t.a.fifo_element[12].out.d.d[0]" "t.a.fifo_element[12].buf_func.y" += "t.a.fifo_element[12].out.d.d[0]" "t.a.fifo_element[12].en_ctl.p1" += "t.a.fifo_element[12].out.d.d[0]" "t.a.fifo_element[12].inack_ctl.c3" += "t.a.fifo_element[12].out.d.d[0]" "t.a.fifo_element[12].out.r" +"t.a.fifo_element[13].reset_buf.a"->"t.a.fifo_element[13].reset_buf._y"- +~("t.a.fifo_element[13].reset_buf.a")->"t.a.fifo_element[13].reset_buf._y"+ +"t.a.fifo_element[13].reset_buf._y"->"t.a.fifo_element[13].reset_buf.y"- +~("t.a.fifo_element[13].reset_buf._y")->"t.a.fifo_element[13].reset_buf.y"+ +"t.a.fifo_element[13].inv_outa.a"->"t.a.fifo_element[13].inv_outa.y"- +~("t.a.fifo_element[13].inv_outa.a")->"t.a.fifo_element[13].inv_outa.y"+ +~"t.a.fifo_element[13].inack_ctl.c1"&~"t.a.fifo_element[13].inack_ctl.c2"&~"t.a.fifo_element[13].inack_ctl.c3"|~"t.a.fifo_element[13].inack_ctl.pr_B"->"t.a.fifo_element[13].inack_ctl._y"+ +"t.a.fifo_element[13].inack_ctl.c1"&"t.a.fifo_element[13].inack_ctl.c2"&"t.a.fifo_element[13].inack_ctl.c3"&"t.a.fifo_element[13].inack_ctl.sr_B"->"t.a.fifo_element[13].inack_ctl._y"- +"t.a.fifo_element[13].inack_ctl._y"->"t.a.fifo_element[13].inack_ctl.y"- +~("t.a.fifo_element[13].inack_ctl._y")->"t.a.fifo_element[13].inack_ctl.y"+ +~"t.a.fifo_element[13].buf_func.c1"&~"t.a.fifo_element[13].buf_func.c2"|~"t.a.fifo_element[13].buf_func.pr_B"->"t.a.fifo_element[13].buf_func._y"+ +"t.a.fifo_element[13].buf_func.c1"&"t.a.fifo_element[13].buf_func.c2"&"t.a.fifo_element[13].buf_func.n1"&"t.a.fifo_element[13].buf_func.sr_B"->"t.a.fifo_element[13].buf_func._y"- +"t.a.fifo_element[13].buf_func._y"->"t.a.fifo_element[13].buf_func.y"- +~("t.a.fifo_element[13].buf_func._y")->"t.a.fifo_element[13].buf_func.y"+ += "t.a.fifo_element[13].reset_B" "t.a.fifo_element[13].reset_buf.a" += "t.a.fifo_element[13].supply.vdd" "t.a.fifo_element[13].reset_buf.vdd" += "t.a.fifo_element[13].supply.vdd" "t.a.fifo_element[13].buf_func.vdd" += "t.a.fifo_element[13].supply.vdd" "t.a.fifo_element[13].inv_outa.vdd" += "t.a.fifo_element[13].supply.vdd" "t.a.fifo_element[13].en_ctl.vdd" += "t.a.fifo_element[13].supply.vdd" "t.a.fifo_element[13].inack_ctl.vdd" += "t.a.fifo_element[13].supply.vss" "t.a.fifo_element[13].reset_buf.vss" += "t.a.fifo_element[13].supply.vss" "t.a.fifo_element[13].buf_func.vss" += "t.a.fifo_element[13].supply.vss" "t.a.fifo_element[13].inv_outa.vss" += "t.a.fifo_element[13].supply.vss" "t.a.fifo_element[13].en_ctl.vss" += "t.a.fifo_element[13].supply.vss" "t.a.fifo_element[13].inack_ctl.vss" += "t.a.fifo_element[13]._reset_BX" "t.a.fifo_element[13].reset_buf.y" += "t.a.fifo_element[13]._reset_BX" "t.a.fifo_element[13].buf_func.sr_B" += "t.a.fifo_element[13]._reset_BX" "t.a.fifo_element[13].buf_func.pr_B" += "t.a.fifo_element[13]._reset_BX" "t.a.fifo_element[13].inack_ctl.sr_B" += "t.a.fifo_element[13]._reset_BX" "t.a.fifo_element[13].inack_ctl.pr_B" += "t.a.fifo_element[13]._en" "t.a.fifo_element[13].buf_func.c1" += "t.a.fifo_element[13]._en" "t.a.fifo_element[13].en_ctl.y" += "t.a.fifo_element[13]._en" "t.a.fifo_element[13].inack_ctl.c1" +~"t.a.fifo_element[13].en_ctl.p1"&~"t.a.fifo_element[13].en_ctl.c1"->"t.a.fifo_element[13].en_ctl.y"+ +"t.a.fifo_element[13].en_ctl.c1"->"t.a.fifo_element[13].en_ctl.y"- += "t.a.fifo_element[13]._out_a_B" "t.a.fifo_element[13].buf_func.c2" += "t.a.fifo_element[13]._out_a_B" "t.a.fifo_element[13].inv_outa.y" += "t.a.fifo_element[13].in.d.d[0]" "t.a.fifo_element[13].in.r" += "t.a.fifo_element[13].in.a" "t.a.fifo_element[13].en_ctl.c1" += "t.a.fifo_element[13].in.a" "t.a.fifo_element[13].inack_ctl.y" += "t.a.fifo_element[13].in.d.d[0]" "t.a.fifo_element[13].buf_func.n1" += "t.a.fifo_element[13].in.d.d[0]" "t.a.fifo_element[13].inack_ctl.c2" += "t.a.fifo_element[13].in.d.d[0]" "t.a.fifo_element[13].in.r" += "t.a.fifo_element[13].out.d.d[0]" "t.a.fifo_element[13].out.r" += "t.a.fifo_element[13].out.a" "t.a.fifo_element[13].inv_outa.a" += "t.a.fifo_element[13].out.d.d[0]" "t.a.fifo_element[13].buf_func.y" += "t.a.fifo_element[13].out.d.d[0]" "t.a.fifo_element[13].en_ctl.p1" += "t.a.fifo_element[13].out.d.d[0]" "t.a.fifo_element[13].inack_ctl.c3" += "t.a.fifo_element[13].out.d.d[0]" "t.a.fifo_element[13].out.r" +"t.a.fifo_element[14].reset_buf.a"->"t.a.fifo_element[14].reset_buf._y"- +~("t.a.fifo_element[14].reset_buf.a")->"t.a.fifo_element[14].reset_buf._y"+ +"t.a.fifo_element[14].reset_buf._y"->"t.a.fifo_element[14].reset_buf.y"- +~("t.a.fifo_element[14].reset_buf._y")->"t.a.fifo_element[14].reset_buf.y"+ +"t.a.fifo_element[14].inv_outa.a"->"t.a.fifo_element[14].inv_outa.y"- +~("t.a.fifo_element[14].inv_outa.a")->"t.a.fifo_element[14].inv_outa.y"+ +~"t.a.fifo_element[14].inack_ctl.c1"&~"t.a.fifo_element[14].inack_ctl.c2"&~"t.a.fifo_element[14].inack_ctl.c3"|~"t.a.fifo_element[14].inack_ctl.pr_B"->"t.a.fifo_element[14].inack_ctl._y"+ +"t.a.fifo_element[14].inack_ctl.c1"&"t.a.fifo_element[14].inack_ctl.c2"&"t.a.fifo_element[14].inack_ctl.c3"&"t.a.fifo_element[14].inack_ctl.sr_B"->"t.a.fifo_element[14].inack_ctl._y"- +"t.a.fifo_element[14].inack_ctl._y"->"t.a.fifo_element[14].inack_ctl.y"- +~("t.a.fifo_element[14].inack_ctl._y")->"t.a.fifo_element[14].inack_ctl.y"+ +~"t.a.fifo_element[14].buf_func.c1"&~"t.a.fifo_element[14].buf_func.c2"|~"t.a.fifo_element[14].buf_func.pr_B"->"t.a.fifo_element[14].buf_func._y"+ +"t.a.fifo_element[14].buf_func.c1"&"t.a.fifo_element[14].buf_func.c2"&"t.a.fifo_element[14].buf_func.n1"&"t.a.fifo_element[14].buf_func.sr_B"->"t.a.fifo_element[14].buf_func._y"- +"t.a.fifo_element[14].buf_func._y"->"t.a.fifo_element[14].buf_func.y"- +~("t.a.fifo_element[14].buf_func._y")->"t.a.fifo_element[14].buf_func.y"+ += "t.a.fifo_element[14].reset_B" "t.a.fifo_element[14].reset_buf.a" += "t.a.fifo_element[14].supply.vdd" "t.a.fifo_element[14].reset_buf.vdd" += "t.a.fifo_element[14].supply.vdd" "t.a.fifo_element[14].buf_func.vdd" += "t.a.fifo_element[14].supply.vdd" "t.a.fifo_element[14].inv_outa.vdd" += "t.a.fifo_element[14].supply.vdd" "t.a.fifo_element[14].en_ctl.vdd" += "t.a.fifo_element[14].supply.vdd" "t.a.fifo_element[14].inack_ctl.vdd" += "t.a.fifo_element[14].supply.vss" "t.a.fifo_element[14].reset_buf.vss" += "t.a.fifo_element[14].supply.vss" "t.a.fifo_element[14].buf_func.vss" += "t.a.fifo_element[14].supply.vss" "t.a.fifo_element[14].inv_outa.vss" += "t.a.fifo_element[14].supply.vss" "t.a.fifo_element[14].en_ctl.vss" += "t.a.fifo_element[14].supply.vss" "t.a.fifo_element[14].inack_ctl.vss" += "t.a.fifo_element[14]._reset_BX" "t.a.fifo_element[14].reset_buf.y" += "t.a.fifo_element[14]._reset_BX" "t.a.fifo_element[14].buf_func.sr_B" += "t.a.fifo_element[14]._reset_BX" "t.a.fifo_element[14].buf_func.pr_B" += "t.a.fifo_element[14]._reset_BX" "t.a.fifo_element[14].inack_ctl.sr_B" += "t.a.fifo_element[14]._reset_BX" "t.a.fifo_element[14].inack_ctl.pr_B" += "t.a.fifo_element[14]._en" "t.a.fifo_element[14].buf_func.c1" += "t.a.fifo_element[14]._en" "t.a.fifo_element[14].en_ctl.y" += "t.a.fifo_element[14]._en" "t.a.fifo_element[14].inack_ctl.c1" +~"t.a.fifo_element[14].en_ctl.p1"&~"t.a.fifo_element[14].en_ctl.c1"->"t.a.fifo_element[14].en_ctl.y"+ +"t.a.fifo_element[14].en_ctl.c1"->"t.a.fifo_element[14].en_ctl.y"- += "t.a.fifo_element[14]._out_a_B" "t.a.fifo_element[14].buf_func.c2" += "t.a.fifo_element[14]._out_a_B" "t.a.fifo_element[14].inv_outa.y" += "t.a.fifo_element[14].in.d.d[0]" "t.a.fifo_element[14].in.r" += "t.a.fifo_element[14].in.a" "t.a.fifo_element[14].en_ctl.c1" += "t.a.fifo_element[14].in.a" "t.a.fifo_element[14].inack_ctl.y" += "t.a.fifo_element[14].in.d.d[0]" "t.a.fifo_element[14].buf_func.n1" += "t.a.fifo_element[14].in.d.d[0]" "t.a.fifo_element[14].inack_ctl.c2" += "t.a.fifo_element[14].in.d.d[0]" "t.a.fifo_element[14].in.r" += "t.a.fifo_element[14].out.d.d[0]" "t.a.fifo_element[14].out.r" += "t.a.fifo_element[14].out.a" "t.a.fifo_element[14].inv_outa.a" += "t.a.fifo_element[14].out.d.d[0]" "t.a.fifo_element[14].buf_func.y" += "t.a.fifo_element[14].out.d.d[0]" "t.a.fifo_element[14].en_ctl.p1" += "t.a.fifo_element[14].out.d.d[0]" "t.a.fifo_element[14].inack_ctl.c3" += "t.a.fifo_element[14].out.d.d[0]" "t.a.fifo_element[14].out.r" += "t.a.fifo_element[14].in.a" "t.a.fifo_element[13].out.a" += "t.a.fifo_element[14].in.d.d[0]" "t.a.fifo_element[13].out.r" += "t.a.fifo_element[14].in.d.d[0]" "t.a.fifo_element[13].out.d.d[0]" += "t.a.fifo_element[14].in.d.d[0]" "t.a.fifo_element[14].in.r" += "t.a.fifo_element[13].in.a" "t.a.fifo_element[12].out.a" += "t.a.fifo_element[13].in.d.d[0]" "t.a.fifo_element[12].out.r" += "t.a.fifo_element[13].in.d.d[0]" "t.a.fifo_element[12].out.d.d[0]" += "t.a.fifo_element[13].in.d.d[0]" "t.a.fifo_element[13].in.r" += "t.a.fifo_element[12].in.a" "t.a.fifo_element[11].out.a" += "t.a.fifo_element[12].in.d.d[0]" "t.a.fifo_element[11].out.r" += "t.a.fifo_element[12].in.d.d[0]" "t.a.fifo_element[11].out.d.d[0]" += "t.a.fifo_element[12].in.d.d[0]" "t.a.fifo_element[12].in.r" += "t.a.fifo_element[11].in.a" "t.a.fifo_element[10].out.a" += "t.a.fifo_element[11].in.d.d[0]" "t.a.fifo_element[10].out.r" += "t.a.fifo_element[11].in.d.d[0]" "t.a.fifo_element[10].out.d.d[0]" += "t.a.fifo_element[11].in.d.d[0]" "t.a.fifo_element[11].in.r" += "t.a.fifo_element[10].in.a" "t.a.fifo_element[9].out.a" += "t.a.fifo_element[10].in.d.d[0]" "t.a.fifo_element[9].out.r" += "t.a.fifo_element[10].in.d.d[0]" "t.a.fifo_element[9].out.d.d[0]" += "t.a.fifo_element[10].in.d.d[0]" "t.a.fifo_element[10].in.r" += "t.a.fifo_element[9].in.a" "t.a.fifo_element[8].out.a" += "t.a.fifo_element[9].in.d.d[0]" "t.a.fifo_element[8].out.r" += "t.a.fifo_element[9].in.d.d[0]" "t.a.fifo_element[8].out.d.d[0]" += "t.a.fifo_element[9].in.d.d[0]" "t.a.fifo_element[9].in.r" += "t.a.fifo_element[8].in.a" "t.a.fifo_element[7].out.a" += "t.a.fifo_element[8].in.d.d[0]" "t.a.fifo_element[7].out.r" += "t.a.fifo_element[8].in.d.d[0]" "t.a.fifo_element[7].out.d.d[0]" += "t.a.fifo_element[8].in.d.d[0]" "t.a.fifo_element[8].in.r" += "t.a.fifo_element[7].in.a" "t.a.fifo_element[6].out.a" += "t.a.fifo_element[7].in.d.d[0]" "t.a.fifo_element[6].out.r" += "t.a.fifo_element[7].in.d.d[0]" "t.a.fifo_element[6].out.d.d[0]" += "t.a.fifo_element[7].in.d.d[0]" "t.a.fifo_element[7].in.r" += "t.a.fifo_element[6].in.a" "t.a.fifo_element[5].out.a" += "t.a.fifo_element[6].in.d.d[0]" "t.a.fifo_element[5].out.r" += "t.a.fifo_element[6].in.d.d[0]" "t.a.fifo_element[5].out.d.d[0]" += "t.a.fifo_element[6].in.d.d[0]" "t.a.fifo_element[6].in.r" += "t.a.fifo_element[5].in.a" "t.a.fifo_element[4].out.a" += "t.a.fifo_element[5].in.d.d[0]" "t.a.fifo_element[4].out.r" += "t.a.fifo_element[5].in.d.d[0]" "t.a.fifo_element[4].out.d.d[0]" += "t.a.fifo_element[5].in.d.d[0]" "t.a.fifo_element[5].in.r" += "t.a.fifo_element[4].in.a" "t.a.fifo_element[3].out.a" += "t.a.fifo_element[4].in.d.d[0]" "t.a.fifo_element[3].out.r" += "t.a.fifo_element[4].in.d.d[0]" "t.a.fifo_element[3].out.d.d[0]" += "t.a.fifo_element[4].in.d.d[0]" "t.a.fifo_element[4].in.r" += "t.a.fifo_element[3].in.a" "t.a.fifo_element[2].out.a" += "t.a.fifo_element[3].in.d.d[0]" "t.a.fifo_element[2].out.r" += "t.a.fifo_element[3].in.d.d[0]" "t.a.fifo_element[2].out.d.d[0]" += "t.a.fifo_element[3].in.d.d[0]" "t.a.fifo_element[3].in.r" += "t.a.fifo_element[2].in.a" "t.a.fifo_element[1].out.a" += "t.a.fifo_element[2].in.d.d[0]" "t.a.fifo_element[1].out.r" += "t.a.fifo_element[2].in.d.d[0]" "t.a.fifo_element[1].out.d.d[0]" += "t.a.fifo_element[2].in.d.d[0]" "t.a.fifo_element[2].in.r" += "t.a.fifo_element[1].in.a" "t.a.fifo_element[0].out.a" += "t.a.fifo_element[1].in.d.d[0]" "t.a.fifo_element[0].out.r" += "t.a.fifo_element[1].in.d.d[0]" "t.a.fifo_element[0].out.d.d[0]" += "t.a.fifo_element[1].in.d.d[0]" "t.a.fifo_element[1].in.r" += "t.a._reset_BXX[0]" "t.a.reset_bufarray.out[0]" += "t.a._reset_BXX[1]" "t.a.reset_bufarray.out[1]" += "t.a._reset_BXX[2]" "t.a.reset_bufarray.out[2]" += "t.a._reset_BXX[3]" "t.a.reset_bufarray.out[3]" += "t.a._reset_BXX[4]" "t.a.reset_bufarray.out[4]" += "t.a._reset_BXX[5]" "t.a.reset_bufarray.out[5]" += "t.a._reset_BXX[6]" "t.a.reset_bufarray.out[6]" += "t.a._reset_BXX[7]" "t.a.reset_bufarray.out[7]" += "t.a._reset_BXX[8]" "t.a.reset_bufarray.out[8]" += "t.a._reset_BXX[9]" "t.a.reset_bufarray.out[9]" += "t.a._reset_BXX[10]" "t.a.reset_bufarray.out[10]" += "t.a._reset_BXX[11]" "t.a.reset_bufarray.out[11]" += "t.a._reset_BXX[12]" "t.a.reset_bufarray.out[12]" += "t.a._reset_BXX[13]" "t.a.reset_bufarray.out[13]" += "t.a._reset_BXX[14]" "t.a.reset_bufarray.out[14]" += "t.a._reset_BXX[14]" "t.a.fifo_element[13].reset_B" += "t.a._reset_BXX[14]" "t.a._reset_BXX[13]" += "t.a._reset_BXX[14]" "t.a.fifo_element[12].reset_B" += "t.a._reset_BXX[14]" "t.a._reset_BXX[12]" += "t.a._reset_BXX[14]" "t.a.fifo_element[11].reset_B" += "t.a._reset_BXX[14]" "t.a._reset_BXX[11]" += "t.a._reset_BXX[14]" "t.a.fifo_element[10].reset_B" += "t.a._reset_BXX[14]" "t.a._reset_BXX[10]" += "t.a._reset_BXX[14]" "t.a.fifo_element[9].reset_B" += "t.a._reset_BXX[14]" "t.a._reset_BXX[9]" += "t.a._reset_BXX[14]" "t.a.fifo_element[8].reset_B" += "t.a._reset_BXX[14]" "t.a._reset_BXX[8]" += "t.a._reset_BXX[14]" "t.a.fifo_element[7].reset_B" += "t.a._reset_BXX[14]" "t.a._reset_BXX[7]" += "t.a._reset_BXX[14]" "t.a.fifo_element[6].reset_B" += "t.a._reset_BXX[14]" "t.a._reset_BXX[6]" += "t.a._reset_BXX[14]" "t.a.fifo_element[5].reset_B" += "t.a._reset_BXX[14]" "t.a._reset_BXX[5]" += "t.a._reset_BXX[14]" "t.a.fifo_element[4].reset_B" += "t.a._reset_BXX[14]" "t.a._reset_BXX[4]" += "t.a._reset_BXX[14]" "t.a.fifo_element[3].reset_B" += "t.a._reset_BXX[14]" "t.a._reset_BXX[3]" += "t.a._reset_BXX[14]" "t.a.fifo_element[2].reset_B" += "t.a._reset_BXX[14]" "t.a._reset_BXX[2]" += "t.a._reset_BXX[14]" "t.a.fifo_element[1].reset_B" += "t.a._reset_BXX[14]" "t.a._reset_BXX[1]" += "t.a._reset_BXX[14]" "t.a.fifo_element[0].reset_B" += "t.a._reset_BXX[14]" "t.a._reset_BXX[0]" += "t.a._reset_BXX[14]" "t.a.fifo_element[14].reset_B" += "t.a.in.d.d[0]" "t.a.in.r" += "t.a.in.a" "t.a.fifo_element[0].in.a" += "t.a.in.d.d[0]" "t.a.fifo_element[0].in.r" += "t.a.in.d.d[0]" "t.a.fifo_element[0].in.d.d[0]" += "t.a.in.d.d[0]" "t.a.in.r" += "t.a.out.d.d[0]" "t.a.out.r" += "t.a.out.a" "t.a.fifo_element[14].out.a" += "t.a.out.d.d[0]" "t.a.fifo_element[14].out.r" += "t.a.out.d.d[0]" "t.a.fifo_element[14].out.d.d[0]" += "t.a.out.d.d[0]" "t.a.out.r" += "Reset" "t.a.reset_B" += "Vdd" "t.a.supply.vdd" += "GND" "t.a.supply.vss" += "t.out.d.d[0]" "t.out.r" += "t.out.r" "t.a.out.r" += "t.out.a" "t.a.out.a" += "t.out.d.d[0]" "t.a.out.d.d[0]" += "t.out.d.d[0]" "t.out.r" += "t.in.d.d[0]" "t.in.r" += "t.in.r" "t.a.in.r" += "t.in.a" "t.a.in.a" += "t.in.d.d[0]" "t.a.in.d.d[0]" += "t.in.d.d[0]" "t.in.r" diff --git a/test/unit_tests/fifo_t_15/test.act b/test/unit_tests/fifo_t_15/test.act new file mode 100644 index 0000000..614fa30 --- /dev/null +++ b/test/unit_tests/fifo_t_15/test.act @@ -0,0 +1,43 @@ +/************************************************************************* + * + * This file is part of ACT dataflow neuro library. + * It's the testing facility for cell_lib_std.act + * + * Copyright (c) 2022 University of Groningen - Ole Richter + * Copyright (c) 2022 University of Groningen - Hugh Greatorex + * Copyright (c) 2022 University of Groningen - Michele Mastella + * Copyright (c) 2022 University of Groningen - Madison Cotteret + * + * This source describes Open Hardware and is licensed under the CERN-OHL-W v2 or later + * + * You may redistribute and modify this documentation and make products + * using it under the terms of the CERN-OHL-W v2 (https:/cern.ch/cern-ohl). + * This documentation is distributed WITHOUT ANY EXPRESS OR IMPLIED + * WARRANTY, INCLUDING OF MERCHANTABILITY, SATISFACTORY QUALITY + * AND FITNESS FOR A PARTICULAR PURPOSE. Please see the CERN-OHL-W v2 + * for applicable conditions. + * + * Source location: https://git.web.rug.nl/bics/actlib_dataflow_neuro + * + * As per CERN-OHL-W v2 section 4.1, should You produce hardware based on + * these sources, You must maintain the Source Location visible in its + * documentation. + * + ************************************************************************** + */ + +import "../../dataflow_neuro/primitives.act"; +import globals; + +open tmpl::dataflow_neuro; + +defproc fifo_test(a1of1 in; a1of1 out) +{ + fifo_t<15> a(.in = in, .out = out); + a.supply.vdd = Vdd; + a.supply.vss = GND; + a.reset_B = Reset; + +} + +fifo_test t; \ No newline at end of file diff --git a/test/unit_tests/fifo_t_15/test.prsim b/test/unit_tests/fifo_t_15/test.prsim new file mode 100644 index 0000000..679c463 --- /dev/null +++ b/test/unit_tests/fifo_t_15/test.prsim @@ -0,0 +1,48 @@ +watchall +system "echo '[0] code starts'" +set t.in.r 0 +set t.out.a 0 +cycle +set Reset 0 +cycle +status X +mode run +system "echo '[1] reset done'" +system "echo '----------------------------------------------------------------------------------------------------'" +set t.in.r 1 +cycle +set t.in.r 0 +cycle +system "echo '1 bit inside'" +set t.in.r 1 +cycle +set t.in.r 0 +cycle +system "echo '2 bit inside'" +set t.in.r 1 +cycle +set t.in.r 0 +cycle +system "echo '3 bit inside'" +set t.in.r 1 +cycle +set t.in.r 0 +cycle +system "echo '4 bit inside'" +set t.in.r 1 +cycle +set t.in.r 0 +cycle +system "echo '5 bit inside'" +set t.in.r 1 +cycle +set t.in.r 0 +cycle +system "echo '6 bit inside'" +set t.in.r 1 +cycle +set t.in.r 0 +cycle +system "echo '7 bit inside'" +assert t.out.r 1 +