module tmpl_0_0dataflow__neuro_0_0vtree_39_4(Iin_d0_d0 , Iin_d0_d1 , Iin_d1_d0 , Iin_d1_d1 , Iin_d2_d0 , Iin_d2_d1 , Iin_d3_d0 , Iin_d3_d1 , Iin_d4_d0 , Iin_d4_d1 , Iin_d5_d0 , Iin_d5_d1 , Iin_d6_d0 , Iin_d6_d1 , Iin_d7_d0 , Iin_d7_d1 , Iin_d8_d0 , Iin_d8_d1 , out, vdd, vss); input vdd; input vss; input Iin_d0_d0 ; input Iin_d0_d1 ; input Iin_d1_d0 ; input Iin_d1_d1 ; input Iin_d2_d0 ; input Iin_d2_d1 ; input Iin_d3_d0 ; input Iin_d3_d1 ; input Iin_d4_d0 ; input Iin_d4_d1 ; input Iin_d5_d0 ; input Iin_d5_d1 ; input Iin_d6_d0 ; input Iin_d6_d1 ; input Iin_d7_d0 ; input Iin_d7_d1 ; input Iin_d8_d0 ; input Iin_d8_d1 ; output out; // -- signals --- wire Iin_d3_d0 ; wire Ict_in7 ; wire Ict_in3 ; wire Iin_d8_d1 ; wire Ict_in1 ; wire Iin_d1_d1 ; wire Iin_d1_d0 ; wire Iin_d4_d1 ; wire Iin_d2_d1 ; wire Iin_d0_d1 ; wire Ict_in4 ; wire Iin_d5_d1 ; wire Iin_d5_d0 ; wire Ict_in8 ; wire Iin_d4_d0 ; wire Iin_d6_d1 ; wire Ict_in6 ; wire Iin_d2_d0 ; wire Ict_in5 ; wire Ict_in2 ; wire Iin_d7_d0 ; wire Iin_d3_d1 ; wire Iin_d8_d0 ; wire Iin_d0_d0 ; wire Ict_in0 ; wire Iin_d6_d0 ; wire Iin_d7_d1 ; wire out ; // --- instances tmpl_0_0dataflow__neuro_0_0ctree_39_4 Ict (.Iin0 (Ict_in0 ), .Iin1 (Ict_in1 ), .Iin2 (Ict_in2 ), .Iin3 (Ict_in3 ), .Iin4 (Ict_in4 ), .Iin5 (Ict_in5 ), .Iin6 (Ict_in6 ), .Iin7 (Ict_in7 ), .Iin8 (Ict_in8 ), .out(out), .vdd(vdd), .vss(vss)); OR2_X1 IOR2_tf0 (.y(Ict_in0 ), .a(Iin_d0_d1 ), .b(Iin_d0_d0 ), .vdd(vdd), .vss(vss)); OR2_X1 IOR2_tf1 (.y(Ict_in1 ), .a(Iin_d1_d1 ), .b(Iin_d1_d0 ), .vdd(vdd), .vss(vss)); OR2_X1 IOR2_tf2 (.y(Ict_in2 ), .a(Iin_d2_d1 ), .b(Iin_d2_d0 ), .vdd(vdd), .vss(vss)); OR2_X1 IOR2_tf3 (.y(Ict_in3 ), .a(Iin_d3_d1 ), .b(Iin_d3_d0 ), .vdd(vdd), .vss(vss)); OR2_X1 IOR2_tf4 (.y(Ict_in4 ), .a(Iin_d4_d1 ), .b(Iin_d4_d0 ), .vdd(vdd), .vss(vss)); OR2_X1 IOR2_tf5 (.y(Ict_in5 ), .a(Iin_d5_d1 ), .b(Iin_d5_d0 ), .vdd(vdd), .vss(vss)); OR2_X1 IOR2_tf6 (.y(Ict_in6 ), .a(Iin_d6_d1 ), .b(Iin_d6_d0 ), .vdd(vdd), .vss(vss)); OR2_X1 IOR2_tf7 (.y(Ict_in7 ), .a(Iin_d7_d1 ), .b(Iin_d7_d0 ), .vdd(vdd), .vss(vss)); OR2_X1 IOR2_tf8 (.y(Ict_in8 ), .a(Iin_d8_d1 ), .b(Iin_d8_d0 ), .vdd(vdd), .vss(vss)); endmodule