module tmpl_0_0dataflow__neuro_0_0demux_330_4(Iin_d_d0_d0 , Iin_d_d0_d1 , Iin_d_d1_d0 , Iin_d_d1_d1 , Iin_d_d2_d0 , Iin_d_d2_d1 , Iin_d_d3_d0 , Iin_d_d3_d1 , Iin_d_d4_d0 , Iin_d_d4_d1 , Iin_d_d5_d0 , Iin_d_d5_d1 , Iin_d_d6_d0 , Iin_d_d6_d1 , Iin_d_d7_d0 , Iin_d_d7_d1 , Iin_d_d8_d0 , Iin_d_d8_d1 , Iin_d_d9_d0 , Iin_d_d9_d1 , Iin_d_d10_d0 , Iin_d_d10_d1 , Iin_d_d11_d0 , Iin_d_d11_d1 , Iin_d_d12_d0 , Iin_d_d12_d1 , Iin_d_d13_d0 , Iin_d_d13_d1 , Iin_d_d14_d0 , Iin_d_d14_d1 , Iin_d_d15_d0 , Iin_d_d15_d1 , Iin_d_d16_d0 , Iin_d_d16_d1 , Iin_d_d17_d0 , Iin_d_d17_d1 , Iin_d_d18_d0 , Iin_d_d18_d1 , Iin_d_d19_d0 , Iin_d_d19_d1 , Iin_d_d20_d0 , Iin_d_d20_d1 , Iin_d_d21_d0 , Iin_d_d21_d1 , Iin_d_d22_d0 , Iin_d_d22_d1 , Iin_d_d23_d0 , Iin_d_d23_d1 , Iin_d_d24_d0 , Iin_d_d24_d1 , Iin_d_d25_d0 , Iin_d_d25_d1 , Iin_d_d26_d0 , Iin_d_d26_d1 , Iin_d_d27_d0 , Iin_d_d27_d1 , Iin_d_d28_d0 , Iin_d_d28_d1 , Iin_d_d29_d0 , Iin_d_d29_d1 , Iin_a , Iin_v , Iout1_d_d0_d0 , Iout1_d_d0_d1 , Iout1_d_d1_d0 , Iout1_d_d1_d1 , Iout1_d_d2_d0 , Iout1_d_d2_d1 , Iout1_d_d3_d0 , Iout1_d_d3_d1 , Iout1_d_d4_d0 , Iout1_d_d4_d1 , Iout1_d_d5_d0 , Iout1_d_d5_d1 , Iout1_d_d6_d0 , Iout1_d_d6_d1 , Iout1_d_d7_d0 , Iout1_d_d7_d1 , Iout1_d_d8_d0 , Iout1_d_d8_d1 , Iout1_d_d9_d0 , Iout1_d_d9_d1 , Iout1_d_d10_d0 , Iout1_d_d10_d1 , Iout1_d_d11_d0 , Iout1_d_d11_d1 , Iout1_d_d12_d0 , Iout1_d_d12_d1 , Iout1_d_d13_d0 , Iout1_d_d13_d1 , Iout1_d_d14_d0 , Iout1_d_d14_d1 , Iout1_d_d15_d0 , Iout1_d_d15_d1 , Iout1_d_d16_d0 , Iout1_d_d16_d1 , Iout1_d_d17_d0 , Iout1_d_d17_d1 , Iout1_d_d18_d0 , Iout1_d_d18_d1 , Iout1_d_d19_d0 , Iout1_d_d19_d1 , Iout1_d_d20_d0 , Iout1_d_d20_d1 , Iout1_d_d21_d0 , Iout1_d_d21_d1 , Iout1_d_d22_d0 , Iout1_d_d22_d1 , Iout1_d_d23_d0 , Iout1_d_d23_d1 , Iout1_d_d24_d0 , Iout1_d_d24_d1 , Iout1_d_d25_d0 , Iout1_d_d25_d1 , Iout1_d_d26_d0 , Iout1_d_d26_d1 , Iout1_d_d27_d0 , Iout1_d_d27_d1 , Iout1_d_d28_d0 , Iout1_d_d28_d1 , Iout1_d_d29_d0 , Iout1_d_d29_d1 , Iout1_a , Iout1_v , Iout2_d_d0_d0 , Iout2_d_d0_d1 , Iout2_d_d1_d0 , Iout2_d_d1_d1 , Iout2_d_d2_d0 , Iout2_d_d2_d1 , Iout2_d_d3_d0 , Iout2_d_d3_d1 , Iout2_d_d4_d0 , Iout2_d_d4_d1 , Iout2_d_d5_d0 , Iout2_d_d5_d1 , Iout2_d_d6_d0 , Iout2_d_d6_d1 , Iout2_d_d7_d0 , Iout2_d_d7_d1 , Iout2_d_d8_d0 , Iout2_d_d8_d1 , Iout2_d_d9_d0 , Iout2_d_d9_d1 , Iout2_d_d10_d0 , Iout2_d_d10_d1 , Iout2_d_d11_d0 , Iout2_d_d11_d1 , Iout2_d_d12_d0 , Iout2_d_d12_d1 , Iout2_d_d13_d0 , Iout2_d_d13_d1 , Iout2_d_d14_d0 , Iout2_d_d14_d1 , Iout2_d_d15_d0 , Iout2_d_d15_d1 , Iout2_d_d16_d0 , Iout2_d_d16_d1 , Iout2_d_d17_d0 , Iout2_d_d17_d1 , Iout2_d_d18_d0 , Iout2_d_d18_d1 , Iout2_d_d19_d0 , Iout2_d_d19_d1 , Iout2_d_d20_d0 , Iout2_d_d20_d1 , Iout2_d_d21_d0 , Iout2_d_d21_d1 , Iout2_d_d22_d0 , Iout2_d_d22_d1 , Iout2_d_d23_d0 , Iout2_d_d23_d1 , Iout2_d_d24_d0 , Iout2_d_d24_d1 , Iout2_d_d25_d0 , Iout2_d_d25_d1 , Iout2_d_d26_d0 , Iout2_d_d26_d1 , Iout2_d_d27_d0 , Iout2_d_d27_d1 , Iout2_d_d28_d0 , Iout2_d_d28_d1 , Iout2_d_d29_d0 , Iout2_d_d29_d1 , Iout2_a , Iout2_v , reset_B, Icond_d_d0_d0 , Icond_d_d0_d1 , Icond_v , vdd, vss); input vdd; input vss; input Iin_d_d0_d0 ; input Iin_d_d0_d1 ; input Iin_d_d1_d0 ; input Iin_d_d1_d1 ; input Iin_d_d2_d0 ; input Iin_d_d2_d1 ; input Iin_d_d3_d0 ; input Iin_d_d3_d1 ; input Iin_d_d4_d0 ; input Iin_d_d4_d1 ; input Iin_d_d5_d0 ; input Iin_d_d5_d1 ; input Iin_d_d6_d0 ; input Iin_d_d6_d1 ; input Iin_d_d7_d0 ; input Iin_d_d7_d1 ; input Iin_d_d8_d0 ; input Iin_d_d8_d1 ; input Iin_d_d9_d0 ; input Iin_d_d9_d1 ; input Iin_d_d10_d0 ; input Iin_d_d10_d1 ; input Iin_d_d11_d0 ; input Iin_d_d11_d1 ; input Iin_d_d12_d0 ; input Iin_d_d12_d1 ; input Iin_d_d13_d0 ; input Iin_d_d13_d1 ; input Iin_d_d14_d0 ; input Iin_d_d14_d1 ; input Iin_d_d15_d0 ; input Iin_d_d15_d1 ; input Iin_d_d16_d0 ; input Iin_d_d16_d1 ; input Iin_d_d17_d0 ; input Iin_d_d17_d1 ; input Iin_d_d18_d0 ; input Iin_d_d18_d1 ; input Iin_d_d19_d0 ; input Iin_d_d19_d1 ; input Iin_d_d20_d0 ; input Iin_d_d20_d1 ; input Iin_d_d21_d0 ; input Iin_d_d21_d1 ; input Iin_d_d22_d0 ; input Iin_d_d22_d1 ; input Iin_d_d23_d0 ; input Iin_d_d23_d1 ; input Iin_d_d24_d0 ; input Iin_d_d24_d1 ; input Iin_d_d25_d0 ; input Iin_d_d25_d1 ; input Iin_d_d26_d0 ; input Iin_d_d26_d1 ; input Iin_d_d27_d0 ; input Iin_d_d27_d1 ; input Iin_d_d28_d0 ; input Iin_d_d28_d1 ; input Iin_d_d29_d0 ; input Iin_d_d29_d1 ; input Iout1_a ; input Iout1_v ; input Iout2_a ; input Iout2_v ; input reset_B; input Icond_d_d0_d0 ; input Icond_d_d0_d1 ; // -- signals --- output Iout2_d_d12_d1 ; output Iout1_d_d16_d0 ; wire Iin_d_d8_d1 ; wire Iin_d_d28_d1 ; output Iout1_d_d13_d1 ; output Iout1_d_d8_d1 ; output Iout2_d_d7_d1 ; output Iout2_d_d22_d1 ; output Iout1_d_d4_d1 ; output Iout2_d_d11_d1 ; wire Iin_d_d16_d1 ; output Iout2_d_d10_d0 ; output Iout1_d_d25_d1 ; output Iout1_d_d17_d1 ; wire Iin_d_d29_d1 ; wire reset_B; output Iout2_d_d17_d1 ; output Iout1_d_d3_d0 ; output Iout2_d_d1_d1 ; wire Iin_d_d21_d0 ; output Iout1_d_d12_d0 ; wire _reset_BX ; output Iout2_d_d9_d0 ; wire Iin_d_d14_d0 ; wire Iin_d_d25_d0 ; output Iout1_d_d24_d0 ; wire Iin_d_d18_d1 ; output Iout2_d_d22_d0 ; wire Iin_d_d26_d0 ; output Iout1_d_d11_d1 ; output Iout1_d_d28_d0 ; output Iout1_d_d9_d0 ; wire Iin_d_d6_d0 ; output Iout1_d_d0_d1 ; output Iout1_d_d1_d0 ; wire _out1_a_B ; wire _out_v ; output Iout2_d_d4_d0 ; wire Iin_d_d7_d0 ; output Iout2_d_d26_d0 ; output Iout1_d_d26_d1 ; output Iout1_d_d12_d1 ; output Iout2_d_d24_d1 ; wire Iin_d_d8_d0 ; output Iout1_d_d10_d1 ; wire _en ; wire Iin_d_d9_d1 ; output Iout2_d_d23_d0 ; output Iout1_d_d21_d0 ; wire Iin_d_d7_d1 ; output Iout2_d_d16_d1 ; wire Iin_d_d2_d0 ; output Iout1_d_d8_d0 ; wire Icond_d_d0_d1 ; output Iout2_d_d15_d1 ; wire Iin_d_d11_d0 ; output Iout1_d_d5_d1 ; output Iout2_d_d13_d0 ; output Iout2_d_d20_d0 ; output Iout1_d_d18_d1 ; output Iout1_d_d29_d0 ; output Iout2_d_d6_d0 ; output Iout2_d_d9_d1 ; wire Iin_d_d13_d1 ; output Iout2_d_d25_d1 ; wire Iin_d_d4_d0 ; output Iout1_d_d22_d0 ; output Iout1_d_d19_d0 ; output Iout1_d_d2_d0 ; wire Iout2_v ; wire I_reset_BXX0 ; wire Iout1_v ; output Iout2_d_d14_d1 ; wire Iin_d_d16_d0 ; wire Iin_d_d22_d0 ; output Iout1_d_d17_d0 ; output Iout2_d_d27_d0 ; wire Iin_d_d23_d1 ; wire Iin_d_d10_d0 ; output Iout2_d_d19_d0 ; output Iout1_d_d3_d1 ; output Iout1_d_d11_d0 ; wire Iin_d_d29_d0 ; output Iout2_d_d16_d0 ; output Iout1_d_d16_d1 ; output Iout1_d_d14_d1 ; output Iout1_d_d27_d0 ; output Iout2_d_d8_d1 ; output Iout2_d_d15_d0 ; wire Iin_d_d23_d0 ; output Iout1_d_d21_d1 ; output Iout2_d_d28_d0 ; wire Iin_d_d11_d1 ; wire Iin_d_d17_d1 ; output Iout2_d_d8_d0 ; output Iout2_d_d27_d1 ; output Iout2_d_d12_d0 ; output Iout1_d_d14_d0 ; wire Iin_d_d5_d1 ; output Iout2_d_d0_d0 ; output Icond_v ; wire Iin_d_d28_d0 ; wire Iin_d_d15_d1 ; wire Iin_d_d20_d1 ; wire Iin_d_d24_d0 ; output Iout1_d_d22_d1 ; output Iout2_d_d28_d1 ; wire Iin_d_d13_d0 ; output Iout1_d_d28_d1 ; wire _in_v ; output Iout2_d_d18_d0 ; output Iout1_d_d23_d0 ; output Iout2_d_d20_d1 ; output Iout1_d_d24_d1 ; output Iout1_d_d7_d1 ; wire I_c_f_buf0 ; wire Iin_d_d5_d0 ; output Iout1_d_d15_d1 ; output Iout1_d_d13_d0 ; output Iout2_d_d3_d0 ; output Iout1_d_d6_d1 ; output Iout1_d_d0_d0 ; wire Iout1_a ; output Iout2_d_d0_d1 ; output Iout1_d_d20_d1 ; output Iout1_d_d1_d1 ; wire Iin_d_d14_d1 ; output Iout1_d_d6_d0 ; output Iout1_d_d5_d0 ; wire Iout2_a ; wire Iin_d_d4_d1 ; output Iout2_d_d13_d1 ; output Iout2_d_d18_d1 ; output Iout1_d_d2_d1 ; output Iout1_d_d18_d0 ; wire Icond_d_d0_d0 ; wire Iin_d_d9_d0 ; output Iout2_d_d11_d0 ; output Iout2_d_d3_d1 ; wire Iin_d_d26_d1 ; output Iout2_d_d17_d0 ; output Iout1_d_d19_d1 ; output Iin_a ; output Iout2_d_d6_d1 ; output Iout2_d_d10_d1 ; output Iout1_d_d25_d0 ; output Iout1_d_d29_d1 ; output Iout1_d_d9_d1 ; output Iout2_d_d26_d1 ; output Iout2_d_d14_d0 ; wire Iin_d_d12_d0 ; wire Iin_d_d18_d0 ; wire Iin_d_d20_d0 ; output Iout2_d_d29_d0 ; output Iout2_d_d2_d1 ; wire Iin_d_d12_d1 ; output Iout2_d_d29_d1 ; wire Iin_d_d15_d0 ; output Iin_v ; output Iout2_d_d19_d1 ; output Iout1_d_d23_d1 ; output Iout1_d_d26_d0 ; wire _c_v ; wire _out2_a_B ; output Iout2_d_d23_d1 ; wire Iin_d_d0_d0 ; output Iout1_d_d20_d0 ; output Iout1_d_d7_d0 ; wire Iin_d_d27_d1 ; wire Iin_d_d17_d0 ; wire Iin_d_d19_d1 ; output Iout2_d_d1_d0 ; output Iout1_d_d27_d1 ; output Iout2_d_d2_d0 ; wire I_c_t_buf0 ; wire Iin_d_d1_d1 ; output Iout2_d_d4_d1 ; output Iout2_d_d21_d0 ; wire Iin_d_d1_d0 ; output Iout2_d_d7_d0 ; output Iout1_d_d15_d0 ; output Iout1_d_d4_d0 ; output Iout2_d_d21_d1 ; wire Iin_d_d3_d1 ; wire Iin_d_d10_d1 ; wire Iin_d_d3_d0 ; wire Iin_d_d24_d1 ; wire Iout2_a_B_buf_out0 ; output Iout2_d_d5_d1 ; wire Iin_d_d25_d1 ; wire Iin_d_d19_d0 ; wire Iin_d_d2_d1 ; wire Iin_d_d21_d1 ; wire Iin_d_d22_d1 ; output Iout1_d_d10_d0 ; wire Iin_d_d27_d0 ; wire Iin_d_d0_d1 ; wire Iin_d_d6_d1 ; wire Iout1_a_B_buf_out0 ; wire Iout_en_buf_out0 ; output Iout2_d_d5_d0 ; output Iout2_d_d24_d0 ; output Iout2_d_d25_d0 ; // --- instances tmpl_0_0dataflow__neuro_0_0sigbuf_360_4 Ireset_bufarray (.in(_reset_BX), .Iout0 (I_reset_BXX0 ), .vdd(vdd), .vss(vss)); A_3C_RB_X4 Iinack_ctl (.y(Iin_a ), .c1(_en), .c2(Icond_v ), .c3(_out_v), .pr_B(_reset_BX), .sr_B(_reset_BX), .vdd(vdd), .vss(vss)); INV_X1 Iout2_a_inv (.y(_out2_a_B), .a(Iout2_a ), .vdd(vdd), .vss(vss)); BUF_X1 Ireset_buf (.y(_reset_BX), .a(reset_B), .vdd(vdd), .vss(vss)); OR2_X1 Iout_or (.y(_out_v), .a(Iout1_v ), .b(Iout2_v ), .vdd(vdd), .vss(vss)); tmpl_0_0dataflow__neuro_0_0sigbuf_3120_4 Iout_en_buf (.in(_en), .Iout0 (Iout_en_buf_out0 ), .vdd(vdd), .vss(vss)); tmpl_0_0dataflow__neuro_0_0sigbuf_330_4 Ic_buf_t (.in(Icond_d_d0_d1 ), .Iout0 (I_c_t_buf0 ), .vdd(vdd), .vss(vss)); A_2C2N_RB_X4 Iout2_t_buf_func0 (.y(Iout2_d_d0_d1 ), .c1(Iout_en_buf_out0 ), .c2(Iout2_a_B_buf_out0 ), .n1(Iin_d_d0_d1 ), .n2(I_c_t_buf0 ), .pr_B(I_reset_BXX0 ), .sr_B(I_reset_BXX0 ), .vdd(vdd), .vss(vss)); A_2C2N_RB_X4 Iout2_t_buf_func1 (.y(Iout2_d_d1_d1 ), .c1(Iout_en_buf_out0 ), .c2(Iout2_a_B_buf_out0 ), .n1(Iin_d_d1_d1 ), .n2(I_c_t_buf0 ), .pr_B(I_reset_BXX0 ), .sr_B(I_reset_BXX0 ), .vdd(vdd), .vss(vss)); A_2C2N_RB_X4 Iout2_t_buf_func2 (.y(Iout2_d_d2_d1 ), .c1(Iout_en_buf_out0 ), .c2(Iout2_a_B_buf_out0 ), .n1(Iin_d_d2_d1 ), .n2(I_c_t_buf0 ), .pr_B(I_reset_BXX0 ), .sr_B(I_reset_BXX0 ), .vdd(vdd), .vss(vss)); A_2C2N_RB_X4 Iout2_t_buf_func3 (.y(Iout2_d_d3_d1 ), .c1(Iout_en_buf_out0 ), .c2(Iout2_a_B_buf_out0 ), .n1(Iin_d_d3_d1 ), .n2(I_c_t_buf0 ), .pr_B(I_reset_BXX0 ), .sr_B(I_reset_BXX0 ), .vdd(vdd), .vss(vss)); A_2C2N_RB_X4 Iout2_t_buf_func4 (.y(Iout2_d_d4_d1 ), .c1(Iout_en_buf_out0 ), .c2(Iout2_a_B_buf_out0 ), .n1(Iin_d_d4_d1 ), .n2(I_c_t_buf0 ), .pr_B(I_reset_BXX0 ), .sr_B(I_reset_BXX0 ), .vdd(vdd), .vss(vss)); A_2C2N_RB_X4 Iout2_t_buf_func5 (.y(Iout2_d_d5_d1 ), .c1(Iout_en_buf_out0 ), .c2(Iout2_a_B_buf_out0 ), .n1(Iin_d_d5_d1 ), .n2(I_c_t_buf0 ), .pr_B(I_reset_BXX0 ), .sr_B(I_reset_BXX0 ), .vdd(vdd), .vss(vss)); A_2C2N_RB_X4 Iout2_t_buf_func6 (.y(Iout2_d_d6_d1 ), .c1(Iout_en_buf_out0 ), .c2(Iout2_a_B_buf_out0 ), .n1(Iin_d_d6_d1 ), .n2(I_c_t_buf0 ), .pr_B(I_reset_BXX0 ), .sr_B(I_reset_BXX0 ), .vdd(vdd), .vss(vss)); A_2C2N_RB_X4 Iout2_t_buf_func7 (.y(Iout2_d_d7_d1 ), .c1(Iout_en_buf_out0 ), .c2(Iout2_a_B_buf_out0 ), .n1(Iin_d_d7_d1 ), .n2(I_c_t_buf0 ), .pr_B(I_reset_BXX0 ), .sr_B(I_reset_BXX0 ), .vdd(vdd), .vss(vss)); A_2C2N_RB_X4 Iout2_t_buf_func8 (.y(Iout2_d_d8_d1 ), .c1(Iout_en_buf_out0 ), .c2(Iout2_a_B_buf_out0 ), .n1(Iin_d_d8_d1 ), .n2(I_c_t_buf0 ), .pr_B(I_reset_BXX0 ), .sr_B(I_reset_BXX0 ), .vdd(vdd), .vss(vss)); A_2C2N_RB_X4 Iout2_t_buf_func9 (.y(Iout2_d_d9_d1 ), .c1(Iout_en_buf_out0 ), .c2(Iout2_a_B_buf_out0 ), .n1(Iin_d_d9_d1 ), .n2(I_c_t_buf0 ), .pr_B(I_reset_BXX0 ), .sr_B(I_reset_BXX0 ), .vdd(vdd), .vss(vss)); A_2C2N_RB_X4 Iout2_t_buf_func10 (.y(Iout2_d_d10_d1 ), .c1(Iout_en_buf_out0 ), .c2(Iout2_a_B_buf_out0 ), .n1(Iin_d_d10_d1 ), .n2(I_c_t_buf0 ), .pr_B(I_reset_BXX0 ), .sr_B(I_reset_BXX0 ), .vdd(vdd), .vss(vss)); A_2C2N_RB_X4 Iout2_t_buf_func11 (.y(Iout2_d_d11_d1 ), .c1(Iout_en_buf_out0 ), .c2(Iout2_a_B_buf_out0 ), .n1(Iin_d_d11_d1 ), .n2(I_c_t_buf0 ), .pr_B(I_reset_BXX0 ), .sr_B(I_reset_BXX0 ), .vdd(vdd), .vss(vss)); A_2C2N_RB_X4 Iout2_t_buf_func12 (.y(Iout2_d_d12_d1 ), .c1(Iout_en_buf_out0 ), .c2(Iout2_a_B_buf_out0 ), .n1(Iin_d_d12_d1 ), .n2(I_c_t_buf0 ), .pr_B(I_reset_BXX0 ), .sr_B(I_reset_BXX0 ), .vdd(vdd), .vss(vss)); A_2C2N_RB_X4 Iout2_t_buf_func13 (.y(Iout2_d_d13_d1 ), .c1(Iout_en_buf_out0 ), .c2(Iout2_a_B_buf_out0 ), .n1(Iin_d_d13_d1 ), .n2(I_c_t_buf0 ), .pr_B(I_reset_BXX0 ), .sr_B(I_reset_BXX0 ), .vdd(vdd), .vss(vss)); A_2C2N_RB_X4 Iout2_t_buf_func14 (.y(Iout2_d_d14_d1 ), .c1(Iout_en_buf_out0 ), .c2(Iout2_a_B_buf_out0 ), .n1(Iin_d_d14_d1 ), .n2(I_c_t_buf0 ), .pr_B(I_reset_BXX0 ), .sr_B(I_reset_BXX0 ), .vdd(vdd), .vss(vss)); A_2C2N_RB_X4 Iout2_t_buf_func15 (.y(Iout2_d_d15_d1 ), .c1(Iout_en_buf_out0 ), .c2(Iout2_a_B_buf_out0 ), .n1(Iin_d_d15_d1 ), .n2(I_c_t_buf0 ), .pr_B(I_reset_BXX0 ), .sr_B(I_reset_BXX0 ), .vdd(vdd), .vss(vss)); A_2C2N_RB_X4 Iout2_t_buf_func16 (.y(Iout2_d_d16_d1 ), .c1(Iout_en_buf_out0 ), .c2(Iout2_a_B_buf_out0 ), .n1(Iin_d_d16_d1 ), .n2(I_c_t_buf0 ), .pr_B(I_reset_BXX0 ), .sr_B(I_reset_BXX0 ), .vdd(vdd), .vss(vss)); A_2C2N_RB_X4 Iout2_t_buf_func17 (.y(Iout2_d_d17_d1 ), .c1(Iout_en_buf_out0 ), .c2(Iout2_a_B_buf_out0 ), .n1(Iin_d_d17_d1 ), .n2(I_c_t_buf0 ), .pr_B(I_reset_BXX0 ), .sr_B(I_reset_BXX0 ), .vdd(vdd), .vss(vss)); A_2C2N_RB_X4 Iout2_t_buf_func18 (.y(Iout2_d_d18_d1 ), .c1(Iout_en_buf_out0 ), .c2(Iout2_a_B_buf_out0 ), .n1(Iin_d_d18_d1 ), .n2(I_c_t_buf0 ), .pr_B(I_reset_BXX0 ), .sr_B(I_reset_BXX0 ), .vdd(vdd), .vss(vss)); A_2C2N_RB_X4 Iout2_t_buf_func19 (.y(Iout2_d_d19_d1 ), .c1(Iout_en_buf_out0 ), .c2(Iout2_a_B_buf_out0 ), .n1(Iin_d_d19_d1 ), .n2(I_c_t_buf0 ), .pr_B(I_reset_BXX0 ), .sr_B(I_reset_BXX0 ), .vdd(vdd), .vss(vss)); A_2C2N_RB_X4 Iout2_t_buf_func20 (.y(Iout2_d_d20_d1 ), .c1(Iout_en_buf_out0 ), .c2(Iout2_a_B_buf_out0 ), .n1(Iin_d_d20_d1 ), .n2(I_c_t_buf0 ), .pr_B(I_reset_BXX0 ), .sr_B(I_reset_BXX0 ), .vdd(vdd), .vss(vss)); A_2C2N_RB_X4 Iout2_t_buf_func21 (.y(Iout2_d_d21_d1 ), .c1(Iout_en_buf_out0 ), .c2(Iout2_a_B_buf_out0 ), .n1(Iin_d_d21_d1 ), .n2(I_c_t_buf0 ), .pr_B(I_reset_BXX0 ), .sr_B(I_reset_BXX0 ), .vdd(vdd), .vss(vss)); A_2C2N_RB_X4 Iout2_t_buf_func22 (.y(Iout2_d_d22_d1 ), .c1(Iout_en_buf_out0 ), .c2(Iout2_a_B_buf_out0 ), .n1(Iin_d_d22_d1 ), .n2(I_c_t_buf0 ), .pr_B(I_reset_BXX0 ), .sr_B(I_reset_BXX0 ), .vdd(vdd), .vss(vss)); A_2C2N_RB_X4 Iout2_t_buf_func23 (.y(Iout2_d_d23_d1 ), .c1(Iout_en_buf_out0 ), .c2(Iout2_a_B_buf_out0 ), .n1(Iin_d_d23_d1 ), .n2(I_c_t_buf0 ), .pr_B(I_reset_BXX0 ), .sr_B(I_reset_BXX0 ), .vdd(vdd), .vss(vss)); A_2C2N_RB_X4 Iout2_t_buf_func24 (.y(Iout2_d_d24_d1 ), .c1(Iout_en_buf_out0 ), .c2(Iout2_a_B_buf_out0 ), .n1(Iin_d_d24_d1 ), .n2(I_c_t_buf0 ), .pr_B(I_reset_BXX0 ), .sr_B(I_reset_BXX0 ), .vdd(vdd), .vss(vss)); A_2C2N_RB_X4 Iout2_t_buf_func25 (.y(Iout2_d_d25_d1 ), .c1(Iout_en_buf_out0 ), .c2(Iout2_a_B_buf_out0 ), .n1(Iin_d_d25_d1 ), .n2(I_c_t_buf0 ), .pr_B(I_reset_BXX0 ), .sr_B(I_reset_BXX0 ), .vdd(vdd), .vss(vss)); A_2C2N_RB_X4 Iout2_t_buf_func26 (.y(Iout2_d_d26_d1 ), .c1(Iout_en_buf_out0 ), .c2(Iout2_a_B_buf_out0 ), .n1(Iin_d_d26_d1 ), .n2(I_c_t_buf0 ), .pr_B(I_reset_BXX0 ), .sr_B(I_reset_BXX0 ), .vdd(vdd), .vss(vss)); A_2C2N_RB_X4 Iout2_t_buf_func27 (.y(Iout2_d_d27_d1 ), .c1(Iout_en_buf_out0 ), .c2(Iout2_a_B_buf_out0 ), .n1(Iin_d_d27_d1 ), .n2(I_c_t_buf0 ), .pr_B(I_reset_BXX0 ), .sr_B(I_reset_BXX0 ), .vdd(vdd), .vss(vss)); A_2C2N_RB_X4 Iout2_t_buf_func28 (.y(Iout2_d_d28_d1 ), .c1(Iout_en_buf_out0 ), .c2(Iout2_a_B_buf_out0 ), .n1(Iin_d_d28_d1 ), .n2(I_c_t_buf0 ), .pr_B(I_reset_BXX0 ), .sr_B(I_reset_BXX0 ), .vdd(vdd), .vss(vss)); A_2C2N_RB_X4 Iout2_t_buf_func29 (.y(Iout2_d_d29_d1 ), .c1(Iout_en_buf_out0 ), .c2(Iout2_a_B_buf_out0 ), .n1(Iin_d_d29_d1 ), .n2(I_c_t_buf0 ), .pr_B(I_reset_BXX0 ), .sr_B(I_reset_BXX0 ), .vdd(vdd), .vss(vss)); A_2C2N_RB_X4 Iout2_f_buf_func0 (.y(Iout2_d_d0_d0 ), .c1(Iout_en_buf_out0 ), .c2(Iout2_a_B_buf_out0 ), .n1(Iin_d_d0_d0 ), .n2(I_c_t_buf0 ), .pr_B(I_reset_BXX0 ), .sr_B(I_reset_BXX0 ), .vdd(vdd), .vss(vss)); A_2C2N_RB_X4 Iout2_f_buf_func1 (.y(Iout2_d_d1_d0 ), .c1(Iout_en_buf_out0 ), .c2(Iout2_a_B_buf_out0 ), .n1(Iin_d_d1_d0 ), .n2(I_c_t_buf0 ), .pr_B(I_reset_BXX0 ), .sr_B(I_reset_BXX0 ), .vdd(vdd), .vss(vss)); A_2C2N_RB_X4 Iout2_f_buf_func2 (.y(Iout2_d_d2_d0 ), .c1(Iout_en_buf_out0 ), .c2(Iout2_a_B_buf_out0 ), .n1(Iin_d_d2_d0 ), .n2(I_c_t_buf0 ), .pr_B(I_reset_BXX0 ), .sr_B(I_reset_BXX0 ), .vdd(vdd), .vss(vss)); A_2C2N_RB_X4 Iout2_f_buf_func3 (.y(Iout2_d_d3_d0 ), .c1(Iout_en_buf_out0 ), .c2(Iout2_a_B_buf_out0 ), .n1(Iin_d_d3_d0 ), .n2(I_c_t_buf0 ), .pr_B(I_reset_BXX0 ), .sr_B(I_reset_BXX0 ), .vdd(vdd), .vss(vss)); A_2C2N_RB_X4 Iout2_f_buf_func4 (.y(Iout2_d_d4_d0 ), .c1(Iout_en_buf_out0 ), .c2(Iout2_a_B_buf_out0 ), .n1(Iin_d_d4_d0 ), .n2(I_c_t_buf0 ), .pr_B(I_reset_BXX0 ), .sr_B(I_reset_BXX0 ), .vdd(vdd), .vss(vss)); A_2C2N_RB_X4 Iout2_f_buf_func5 (.y(Iout2_d_d5_d0 ), .c1(Iout_en_buf_out0 ), .c2(Iout2_a_B_buf_out0 ), .n1(Iin_d_d5_d0 ), .n2(I_c_t_buf0 ), .pr_B(I_reset_BXX0 ), .sr_B(I_reset_BXX0 ), .vdd(vdd), .vss(vss)); A_2C2N_RB_X4 Iout2_f_buf_func6 (.y(Iout2_d_d6_d0 ), .c1(Iout_en_buf_out0 ), .c2(Iout2_a_B_buf_out0 ), .n1(Iin_d_d6_d0 ), .n2(I_c_t_buf0 ), .pr_B(I_reset_BXX0 ), .sr_B(I_reset_BXX0 ), .vdd(vdd), .vss(vss)); A_2C2N_RB_X4 Iout2_f_buf_func7 (.y(Iout2_d_d7_d0 ), .c1(Iout_en_buf_out0 ), .c2(Iout2_a_B_buf_out0 ), .n1(Iin_d_d7_d0 ), .n2(I_c_t_buf0 ), .pr_B(I_reset_BXX0 ), .sr_B(I_reset_BXX0 ), .vdd(vdd), .vss(vss)); A_2C2N_RB_X4 Iout2_f_buf_func8 (.y(Iout2_d_d8_d0 ), .c1(Iout_en_buf_out0 ), .c2(Iout2_a_B_buf_out0 ), .n1(Iin_d_d8_d0 ), .n2(I_c_t_buf0 ), .pr_B(I_reset_BXX0 ), .sr_B(I_reset_BXX0 ), .vdd(vdd), .vss(vss)); A_2C2N_RB_X4 Iout2_f_buf_func9 (.y(Iout2_d_d9_d0 ), .c1(Iout_en_buf_out0 ), .c2(Iout2_a_B_buf_out0 ), .n1(Iin_d_d9_d0 ), .n2(I_c_t_buf0 ), .pr_B(I_reset_BXX0 ), .sr_B(I_reset_BXX0 ), .vdd(vdd), .vss(vss)); A_2C2N_RB_X4 Iout2_f_buf_func10 (.y(Iout2_d_d10_d0 ), .c1(Iout_en_buf_out0 ), .c2(Iout2_a_B_buf_out0 ), .n1(Iin_d_d10_d0 ), .n2(I_c_t_buf0 ), .pr_B(I_reset_BXX0 ), .sr_B(I_reset_BXX0 ), .vdd(vdd), .vss(vss)); A_2C2N_RB_X4 Iout2_f_buf_func11 (.y(Iout2_d_d11_d0 ), .c1(Iout_en_buf_out0 ), .c2(Iout2_a_B_buf_out0 ), .n1(Iin_d_d11_d0 ), .n2(I_c_t_buf0 ), .pr_B(I_reset_BXX0 ), .sr_B(I_reset_BXX0 ), .vdd(vdd), .vss(vss)); A_2C2N_RB_X4 Iout2_f_buf_func12 (.y(Iout2_d_d12_d0 ), .c1(Iout_en_buf_out0 ), .c2(Iout2_a_B_buf_out0 ), .n1(Iin_d_d12_d0 ), .n2(I_c_t_buf0 ), .pr_B(I_reset_BXX0 ), .sr_B(I_reset_BXX0 ), .vdd(vdd), .vss(vss)); A_2C2N_RB_X4 Iout2_f_buf_func13 (.y(Iout2_d_d13_d0 ), .c1(Iout_en_buf_out0 ), .c2(Iout2_a_B_buf_out0 ), .n1(Iin_d_d13_d0 ), .n2(I_c_t_buf0 ), .pr_B(I_reset_BXX0 ), .sr_B(I_reset_BXX0 ), .vdd(vdd), .vss(vss)); A_2C2N_RB_X4 Iout2_f_buf_func14 (.y(Iout2_d_d14_d0 ), .c1(Iout_en_buf_out0 ), .c2(Iout2_a_B_buf_out0 ), .n1(Iin_d_d14_d0 ), .n2(I_c_t_buf0 ), .pr_B(I_reset_BXX0 ), .sr_B(I_reset_BXX0 ), .vdd(vdd), .vss(vss)); A_2C2N_RB_X4 Iout2_f_buf_func15 (.y(Iout2_d_d15_d0 ), .c1(Iout_en_buf_out0 ), .c2(Iout2_a_B_buf_out0 ), .n1(Iin_d_d15_d0 ), .n2(I_c_t_buf0 ), .pr_B(I_reset_BXX0 ), .sr_B(I_reset_BXX0 ), .vdd(vdd), .vss(vss)); A_2C2N_RB_X4 Iout2_f_buf_func16 (.y(Iout2_d_d16_d0 ), .c1(Iout_en_buf_out0 ), .c2(Iout2_a_B_buf_out0 ), .n1(Iin_d_d16_d0 ), .n2(I_c_t_buf0 ), .pr_B(I_reset_BXX0 ), .sr_B(I_reset_BXX0 ), .vdd(vdd), .vss(vss)); A_2C2N_RB_X4 Iout2_f_buf_func17 (.y(Iout2_d_d17_d0 ), .c1(Iout_en_buf_out0 ), .c2(Iout2_a_B_buf_out0 ), .n1(Iin_d_d17_d0 ), .n2(I_c_t_buf0 ), .pr_B(I_reset_BXX0 ), .sr_B(I_reset_BXX0 ), .vdd(vdd), .vss(vss)); A_2C2N_RB_X4 Iout2_f_buf_func18 (.y(Iout2_d_d18_d0 ), .c1(Iout_en_buf_out0 ), .c2(Iout2_a_B_buf_out0 ), .n1(Iin_d_d18_d0 ), .n2(I_c_t_buf0 ), .pr_B(I_reset_BXX0 ), .sr_B(I_reset_BXX0 ), .vdd(vdd), .vss(vss)); A_2C2N_RB_X4 Iout2_f_buf_func19 (.y(Iout2_d_d19_d0 ), .c1(Iout_en_buf_out0 ), .c2(Iout2_a_B_buf_out0 ), .n1(Iin_d_d19_d0 ), .n2(I_c_t_buf0 ), .pr_B(I_reset_BXX0 ), .sr_B(I_reset_BXX0 ), .vdd(vdd), .vss(vss)); A_2C2N_RB_X4 Iout2_f_buf_func20 (.y(Iout2_d_d20_d0 ), .c1(Iout_en_buf_out0 ), .c2(Iout2_a_B_buf_out0 ), .n1(Iin_d_d20_d0 ), .n2(I_c_t_buf0 ), .pr_B(I_reset_BXX0 ), .sr_B(I_reset_BXX0 ), .vdd(vdd), .vss(vss)); A_2C2N_RB_X4 Iout2_f_buf_func21 (.y(Iout2_d_d21_d0 ), .c1(Iout_en_buf_out0 ), .c2(Iout2_a_B_buf_out0 ), .n1(Iin_d_d21_d0 ), .n2(I_c_t_buf0 ), .pr_B(I_reset_BXX0 ), .sr_B(I_reset_BXX0 ), .vdd(vdd), .vss(vss)); A_2C2N_RB_X4 Iout2_f_buf_func22 (.y(Iout2_d_d22_d0 ), .c1(Iout_en_buf_out0 ), .c2(Iout2_a_B_buf_out0 ), .n1(Iin_d_d22_d0 ), .n2(I_c_t_buf0 ), .pr_B(I_reset_BXX0 ), .sr_B(I_reset_BXX0 ), .vdd(vdd), .vss(vss)); A_2C2N_RB_X4 Iout2_f_buf_func23 (.y(Iout2_d_d23_d0 ), .c1(Iout_en_buf_out0 ), .c2(Iout2_a_B_buf_out0 ), .n1(Iin_d_d23_d0 ), .n2(I_c_t_buf0 ), .pr_B(I_reset_BXX0 ), .sr_B(I_reset_BXX0 ), .vdd(vdd), .vss(vss)); A_2C2N_RB_X4 Iout2_f_buf_func24 (.y(Iout2_d_d24_d0 ), .c1(Iout_en_buf_out0 ), .c2(Iout2_a_B_buf_out0 ), .n1(Iin_d_d24_d0 ), .n2(I_c_t_buf0 ), .pr_B(I_reset_BXX0 ), .sr_B(I_reset_BXX0 ), .vdd(vdd), .vss(vss)); A_2C2N_RB_X4 Iout2_f_buf_func25 (.y(Iout2_d_d25_d0 ), .c1(Iout_en_buf_out0 ), .c2(Iout2_a_B_buf_out0 ), .n1(Iin_d_d25_d0 ), .n2(I_c_t_buf0 ), .pr_B(I_reset_BXX0 ), .sr_B(I_reset_BXX0 ), .vdd(vdd), .vss(vss)); A_2C2N_RB_X4 Iout2_f_buf_func26 (.y(Iout2_d_d26_d0 ), .c1(Iout_en_buf_out0 ), .c2(Iout2_a_B_buf_out0 ), .n1(Iin_d_d26_d0 ), .n2(I_c_t_buf0 ), .pr_B(I_reset_BXX0 ), .sr_B(I_reset_BXX0 ), .vdd(vdd), .vss(vss)); A_2C2N_RB_X4 Iout2_f_buf_func27 (.y(Iout2_d_d27_d0 ), .c1(Iout_en_buf_out0 ), .c2(Iout2_a_B_buf_out0 ), .n1(Iin_d_d27_d0 ), .n2(I_c_t_buf0 ), .pr_B(I_reset_BXX0 ), .sr_B(I_reset_BXX0 ), .vdd(vdd), .vss(vss)); A_2C2N_RB_X4 Iout2_f_buf_func28 (.y(Iout2_d_d28_d0 ), .c1(Iout_en_buf_out0 ), .c2(Iout2_a_B_buf_out0 ), .n1(Iin_d_d28_d0 ), .n2(I_c_t_buf0 ), .pr_B(I_reset_BXX0 ), .sr_B(I_reset_BXX0 ), .vdd(vdd), .vss(vss)); A_2C2N_RB_X4 Iout2_f_buf_func29 (.y(Iout2_d_d29_d0 ), .c1(Iout_en_buf_out0 ), .c2(Iout2_a_B_buf_out0 ), .n1(Iin_d_d29_d0 ), .n2(I_c_t_buf0 ), .pr_B(I_reset_BXX0 ), .sr_B(I_reset_BXX0 ), .vdd(vdd), .vss(vss)); A_2C_B_X1 Ic_el (.y(Icond_v ), .c1(_c_v), .c2(_in_v), .vdd(vdd), .vss(vss)); OR2_X1 Ic_f_c_t_or (.y(_c_v), .a(Icond_d_d0_d1 ), .b(Icond_d_d0_d0 ), .vdd(vdd), .vss(vss)); BUF_X4 Iin_v_buf (.y(Iin_v ), .a(_in_v), .vdd(vdd), .vss(vss)); tmpl_0_0dataflow__neuro_0_0vtree_330_4 Ivc (.Iin_d0_d0 (Iin_d_d0_d0 ), .Iin_d0_d1 (Iin_d_d0_d1 ), .Iin_d1_d0 (Iin_d_d1_d0 ), .Iin_d1_d1 (Iin_d_d1_d1 ), .Iin_d2_d0 (Iin_d_d2_d0 ), .Iin_d2_d1 (Iin_d_d2_d1 ), .Iin_d3_d0 (Iin_d_d3_d0 ), .Iin_d3_d1 (Iin_d_d3_d1 ), .Iin_d4_d0 (Iin_d_d4_d0 ), .Iin_d4_d1 (Iin_d_d4_d1 ), .Iin_d5_d0 (Iin_d_d5_d0 ), .Iin_d5_d1 (Iin_d_d5_d1 ), .Iin_d6_d0 (Iin_d_d6_d0 ), .Iin_d6_d1 (Iin_d_d6_d1 ), .Iin_d7_d0 (Iin_d_d7_d0 ), .Iin_d7_d1 (Iin_d_d7_d1 ), .Iin_d8_d0 (Iin_d_d8_d0 ), .Iin_d8_d1 (Iin_d_d8_d1 ), .Iin_d9_d0 (Iin_d_d9_d0 ), .Iin_d9_d1 (Iin_d_d9_d1 ), .Iin_d10_d0 (Iin_d_d10_d0 ), .Iin_d10_d1 (Iin_d_d10_d1 ), .Iin_d11_d0 (Iin_d_d11_d0 ), .Iin_d11_d1 (Iin_d_d11_d1 ), .Iin_d12_d0 (Iin_d_d12_d0 ), .Iin_d12_d1 (Iin_d_d12_d1 ), .Iin_d13_d0 (Iin_d_d13_d0 ), .Iin_d13_d1 (Iin_d_d13_d1 ), .Iin_d14_d0 (Iin_d_d14_d0 ), .Iin_d14_d1 (Iin_d_d14_d1 ), .Iin_d15_d0 (Iin_d_d15_d0 ), .Iin_d15_d1 (Iin_d_d15_d1 ), .Iin_d16_d0 (Iin_d_d16_d0 ), .Iin_d16_d1 (Iin_d_d16_d1 ), .Iin_d17_d0 (Iin_d_d17_d0 ), .Iin_d17_d1 (Iin_d_d17_d1 ), .Iin_d18_d0 (Iin_d_d18_d0 ), .Iin_d18_d1 (Iin_d_d18_d1 ), .Iin_d19_d0 (Iin_d_d19_d0 ), .Iin_d19_d1 (Iin_d_d19_d1 ), .Iin_d20_d0 (Iin_d_d20_d0 ), .Iin_d20_d1 (Iin_d_d20_d1 ), .Iin_d21_d0 (Iin_d_d21_d0 ), .Iin_d21_d1 (Iin_d_d21_d1 ), .Iin_d22_d0 (Iin_d_d22_d0 ), .Iin_d22_d1 (Iin_d_d22_d1 ), .Iin_d23_d0 (Iin_d_d23_d0 ), .Iin_d23_d1 (Iin_d_d23_d1 ), .Iin_d24_d0 (Iin_d_d24_d0 ), .Iin_d24_d1 (Iin_d_d24_d1 ), .Iin_d25_d0 (Iin_d_d25_d0 ), .Iin_d25_d1 (Iin_d_d25_d1 ), .Iin_d26_d0 (Iin_d_d26_d0 ), .Iin_d26_d1 (Iin_d_d26_d1 ), .Iin_d27_d0 (Iin_d_d27_d0 ), .Iin_d27_d1 (Iin_d_d27_d1 ), .Iin_d28_d0 (Iin_d_d28_d0 ), .Iin_d28_d1 (Iin_d_d28_d1 ), .Iin_d29_d0 (Iin_d_d29_d0 ), .Iin_d29_d1 (Iin_d_d29_d1 ), .out(_in_v), .vdd(vdd), .vss(vss)); INV_X1 Iout1_a_inv (.y(_out1_a_B), .a(Iout1_a ), .vdd(vdd), .vss(vss)); A_1C1P_X1 Ien_ctl (.y(_en), .c1(Iin_a ), .p1(_out_v), .vdd(vdd), .vss(vss)); tmpl_0_0dataflow__neuro_0_0sigbuf_330_4 Ic_buf_f (.in(Icond_d_d0_d0 ), .Iout0 (I_c_f_buf0 ), .vdd(vdd), .vss(vss)); A_2C2N_RB_X4 Iout1_f_buf_func0 (.y(Iout1_d_d0_d0 ), .c1(Iout_en_buf_out0 ), .c2(Iout1_a_B_buf_out0 ), .n1(Iin_d_d0_d0 ), .n2(I_c_f_buf0 ), .pr_B(I_reset_BXX0 ), .sr_B(I_reset_BXX0 ), .vdd(vdd), .vss(vss)); A_2C2N_RB_X4 Iout1_f_buf_func1 (.y(Iout1_d_d1_d0 ), .c1(Iout_en_buf_out0 ), .c2(Iout1_a_B_buf_out0 ), .n1(Iin_d_d1_d0 ), .n2(I_c_f_buf0 ), .pr_B(I_reset_BXX0 ), .sr_B(I_reset_BXX0 ), .vdd(vdd), .vss(vss)); A_2C2N_RB_X4 Iout1_f_buf_func2 (.y(Iout1_d_d2_d0 ), .c1(Iout_en_buf_out0 ), .c2(Iout1_a_B_buf_out0 ), .n1(Iin_d_d2_d0 ), .n2(I_c_f_buf0 ), .pr_B(I_reset_BXX0 ), .sr_B(I_reset_BXX0 ), .vdd(vdd), .vss(vss)); A_2C2N_RB_X4 Iout1_f_buf_func3 (.y(Iout1_d_d3_d0 ), .c1(Iout_en_buf_out0 ), .c2(Iout1_a_B_buf_out0 ), .n1(Iin_d_d3_d0 ), .n2(I_c_f_buf0 ), .pr_B(I_reset_BXX0 ), .sr_B(I_reset_BXX0 ), .vdd(vdd), .vss(vss)); A_2C2N_RB_X4 Iout1_f_buf_func4 (.y(Iout1_d_d4_d0 ), .c1(Iout_en_buf_out0 ), .c2(Iout1_a_B_buf_out0 ), .n1(Iin_d_d4_d0 ), .n2(I_c_f_buf0 ), .pr_B(I_reset_BXX0 ), .sr_B(I_reset_BXX0 ), .vdd(vdd), .vss(vss)); A_2C2N_RB_X4 Iout1_f_buf_func5 (.y(Iout1_d_d5_d0 ), .c1(Iout_en_buf_out0 ), .c2(Iout1_a_B_buf_out0 ), .n1(Iin_d_d5_d0 ), .n2(I_c_f_buf0 ), .pr_B(I_reset_BXX0 ), .sr_B(I_reset_BXX0 ), .vdd(vdd), .vss(vss)); A_2C2N_RB_X4 Iout1_f_buf_func6 (.y(Iout1_d_d6_d0 ), .c1(Iout_en_buf_out0 ), .c2(Iout1_a_B_buf_out0 ), .n1(Iin_d_d6_d0 ), .n2(I_c_f_buf0 ), .pr_B(I_reset_BXX0 ), .sr_B(I_reset_BXX0 ), .vdd(vdd), .vss(vss)); A_2C2N_RB_X4 Iout1_f_buf_func7 (.y(Iout1_d_d7_d0 ), .c1(Iout_en_buf_out0 ), .c2(Iout1_a_B_buf_out0 ), .n1(Iin_d_d7_d0 ), .n2(I_c_f_buf0 ), .pr_B(I_reset_BXX0 ), .sr_B(I_reset_BXX0 ), .vdd(vdd), .vss(vss)); A_2C2N_RB_X4 Iout1_f_buf_func8 (.y(Iout1_d_d8_d0 ), .c1(Iout_en_buf_out0 ), .c2(Iout1_a_B_buf_out0 ), .n1(Iin_d_d8_d0 ), .n2(I_c_f_buf0 ), .pr_B(I_reset_BXX0 ), .sr_B(I_reset_BXX0 ), .vdd(vdd), .vss(vss)); A_2C2N_RB_X4 Iout1_f_buf_func9 (.y(Iout1_d_d9_d0 ), .c1(Iout_en_buf_out0 ), .c2(Iout1_a_B_buf_out0 ), .n1(Iin_d_d9_d0 ), .n2(I_c_f_buf0 ), .pr_B(I_reset_BXX0 ), .sr_B(I_reset_BXX0 ), .vdd(vdd), .vss(vss)); A_2C2N_RB_X4 Iout1_f_buf_func10 (.y(Iout1_d_d10_d0 ), .c1(Iout_en_buf_out0 ), .c2(Iout1_a_B_buf_out0 ), .n1(Iin_d_d10_d0 ), .n2(I_c_f_buf0 ), .pr_B(I_reset_BXX0 ), .sr_B(I_reset_BXX0 ), .vdd(vdd), .vss(vss)); A_2C2N_RB_X4 Iout1_f_buf_func11 (.y(Iout1_d_d11_d0 ), .c1(Iout_en_buf_out0 ), .c2(Iout1_a_B_buf_out0 ), .n1(Iin_d_d11_d0 ), .n2(I_c_f_buf0 ), .pr_B(I_reset_BXX0 ), .sr_B(I_reset_BXX0 ), .vdd(vdd), .vss(vss)); A_2C2N_RB_X4 Iout1_f_buf_func12 (.y(Iout1_d_d12_d0 ), .c1(Iout_en_buf_out0 ), .c2(Iout1_a_B_buf_out0 ), .n1(Iin_d_d12_d0 ), .n2(I_c_f_buf0 ), .pr_B(I_reset_BXX0 ), .sr_B(I_reset_BXX0 ), .vdd(vdd), .vss(vss)); A_2C2N_RB_X4 Iout1_f_buf_func13 (.y(Iout1_d_d13_d0 ), .c1(Iout_en_buf_out0 ), .c2(Iout1_a_B_buf_out0 ), .n1(Iin_d_d13_d0 ), .n2(I_c_f_buf0 ), .pr_B(I_reset_BXX0 ), .sr_B(I_reset_BXX0 ), .vdd(vdd), .vss(vss)); A_2C2N_RB_X4 Iout1_f_buf_func14 (.y(Iout1_d_d14_d0 ), .c1(Iout_en_buf_out0 ), .c2(Iout1_a_B_buf_out0 ), .n1(Iin_d_d14_d0 ), .n2(I_c_f_buf0 ), .pr_B(I_reset_BXX0 ), .sr_B(I_reset_BXX0 ), .vdd(vdd), .vss(vss)); A_2C2N_RB_X4 Iout1_f_buf_func15 (.y(Iout1_d_d15_d0 ), .c1(Iout_en_buf_out0 ), .c2(Iout1_a_B_buf_out0 ), .n1(Iin_d_d15_d0 ), .n2(I_c_f_buf0 ), .pr_B(I_reset_BXX0 ), .sr_B(I_reset_BXX0 ), .vdd(vdd), .vss(vss)); A_2C2N_RB_X4 Iout1_f_buf_func16 (.y(Iout1_d_d16_d0 ), .c1(Iout_en_buf_out0 ), .c2(Iout1_a_B_buf_out0 ), .n1(Iin_d_d16_d0 ), .n2(I_c_f_buf0 ), .pr_B(I_reset_BXX0 ), .sr_B(I_reset_BXX0 ), .vdd(vdd), .vss(vss)); A_2C2N_RB_X4 Iout1_f_buf_func17 (.y(Iout1_d_d17_d0 ), .c1(Iout_en_buf_out0 ), .c2(Iout1_a_B_buf_out0 ), .n1(Iin_d_d17_d0 ), .n2(I_c_f_buf0 ), .pr_B(I_reset_BXX0 ), .sr_B(I_reset_BXX0 ), .vdd(vdd), .vss(vss)); A_2C2N_RB_X4 Iout1_f_buf_func18 (.y(Iout1_d_d18_d0 ), .c1(Iout_en_buf_out0 ), .c2(Iout1_a_B_buf_out0 ), .n1(Iin_d_d18_d0 ), .n2(I_c_f_buf0 ), .pr_B(I_reset_BXX0 ), .sr_B(I_reset_BXX0 ), .vdd(vdd), .vss(vss)); A_2C2N_RB_X4 Iout1_f_buf_func19 (.y(Iout1_d_d19_d0 ), .c1(Iout_en_buf_out0 ), .c2(Iout1_a_B_buf_out0 ), .n1(Iin_d_d19_d0 ), .n2(I_c_f_buf0 ), .pr_B(I_reset_BXX0 ), .sr_B(I_reset_BXX0 ), .vdd(vdd), .vss(vss)); A_2C2N_RB_X4 Iout1_f_buf_func20 (.y(Iout1_d_d20_d0 ), .c1(Iout_en_buf_out0 ), .c2(Iout1_a_B_buf_out0 ), .n1(Iin_d_d20_d0 ), .n2(I_c_f_buf0 ), .pr_B(I_reset_BXX0 ), .sr_B(I_reset_BXX0 ), .vdd(vdd), .vss(vss)); A_2C2N_RB_X4 Iout1_f_buf_func21 (.y(Iout1_d_d21_d0 ), .c1(Iout_en_buf_out0 ), .c2(Iout1_a_B_buf_out0 ), .n1(Iin_d_d21_d0 ), .n2(I_c_f_buf0 ), .pr_B(I_reset_BXX0 ), .sr_B(I_reset_BXX0 ), .vdd(vdd), .vss(vss)); A_2C2N_RB_X4 Iout1_f_buf_func22 (.y(Iout1_d_d22_d0 ), .c1(Iout_en_buf_out0 ), .c2(Iout1_a_B_buf_out0 ), .n1(Iin_d_d22_d0 ), .n2(I_c_f_buf0 ), .pr_B(I_reset_BXX0 ), .sr_B(I_reset_BXX0 ), .vdd(vdd), .vss(vss)); A_2C2N_RB_X4 Iout1_f_buf_func23 (.y(Iout1_d_d23_d0 ), .c1(Iout_en_buf_out0 ), .c2(Iout1_a_B_buf_out0 ), .n1(Iin_d_d23_d0 ), .n2(I_c_f_buf0 ), .pr_B(I_reset_BXX0 ), .sr_B(I_reset_BXX0 ), .vdd(vdd), .vss(vss)); A_2C2N_RB_X4 Iout1_f_buf_func24 (.y(Iout1_d_d24_d0 ), .c1(Iout_en_buf_out0 ), .c2(Iout1_a_B_buf_out0 ), .n1(Iin_d_d24_d0 ), .n2(I_c_f_buf0 ), .pr_B(I_reset_BXX0 ), .sr_B(I_reset_BXX0 ), .vdd(vdd), .vss(vss)); A_2C2N_RB_X4 Iout1_f_buf_func25 (.y(Iout1_d_d25_d0 ), .c1(Iout_en_buf_out0 ), .c2(Iout1_a_B_buf_out0 ), .n1(Iin_d_d25_d0 ), .n2(I_c_f_buf0 ), .pr_B(I_reset_BXX0 ), .sr_B(I_reset_BXX0 ), .vdd(vdd), .vss(vss)); A_2C2N_RB_X4 Iout1_f_buf_func26 (.y(Iout1_d_d26_d0 ), .c1(Iout_en_buf_out0 ), .c2(Iout1_a_B_buf_out0 ), .n1(Iin_d_d26_d0 ), .n2(I_c_f_buf0 ), .pr_B(I_reset_BXX0 ), .sr_B(I_reset_BXX0 ), .vdd(vdd), .vss(vss)); A_2C2N_RB_X4 Iout1_f_buf_func27 (.y(Iout1_d_d27_d0 ), .c1(Iout_en_buf_out0 ), .c2(Iout1_a_B_buf_out0 ), .n1(Iin_d_d27_d0 ), .n2(I_c_f_buf0 ), .pr_B(I_reset_BXX0 ), .sr_B(I_reset_BXX0 ), .vdd(vdd), .vss(vss)); A_2C2N_RB_X4 Iout1_f_buf_func28 (.y(Iout1_d_d28_d0 ), .c1(Iout_en_buf_out0 ), .c2(Iout1_a_B_buf_out0 ), .n1(Iin_d_d28_d0 ), .n2(I_c_f_buf0 ), .pr_B(I_reset_BXX0 ), .sr_B(I_reset_BXX0 ), .vdd(vdd), .vss(vss)); A_2C2N_RB_X4 Iout1_f_buf_func29 (.y(Iout1_d_d29_d0 ), .c1(Iout_en_buf_out0 ), .c2(Iout1_a_B_buf_out0 ), .n1(Iin_d_d29_d0 ), .n2(I_c_f_buf0 ), .pr_B(I_reset_BXX0 ), .sr_B(I_reset_BXX0 ), .vdd(vdd), .vss(vss)); tmpl_0_0dataflow__neuro_0_0sigbuf_360_4 Iout1_a_B_buf (.in(_out1_a_B), .Iout0 (Iout1_a_B_buf_out0 ), .vdd(vdd), .vss(vss)); A_2C2N_RB_X4 Iout1_t_buf_func0 (.y(Iout1_d_d0_d1 ), .c1(Iout_en_buf_out0 ), .c2(Iout1_a_B_buf_out0 ), .n1(Iin_d_d0_d1 ), .n2(I_c_f_buf0 ), .pr_B(I_reset_BXX0 ), .sr_B(I_reset_BXX0 ), .vdd(vdd), .vss(vss)); A_2C2N_RB_X4 Iout1_t_buf_func1 (.y(Iout1_d_d1_d1 ), .c1(Iout_en_buf_out0 ), .c2(Iout1_a_B_buf_out0 ), .n1(Iin_d_d1_d1 ), .n2(I_c_f_buf0 ), .pr_B(I_reset_BXX0 ), .sr_B(I_reset_BXX0 ), .vdd(vdd), .vss(vss)); A_2C2N_RB_X4 Iout1_t_buf_func2 (.y(Iout1_d_d2_d1 ), .c1(Iout_en_buf_out0 ), .c2(Iout1_a_B_buf_out0 ), .n1(Iin_d_d2_d1 ), .n2(I_c_f_buf0 ), .pr_B(I_reset_BXX0 ), .sr_B(I_reset_BXX0 ), .vdd(vdd), .vss(vss)); A_2C2N_RB_X4 Iout1_t_buf_func3 (.y(Iout1_d_d3_d1 ), .c1(Iout_en_buf_out0 ), .c2(Iout1_a_B_buf_out0 ), .n1(Iin_d_d3_d1 ), .n2(I_c_f_buf0 ), .pr_B(I_reset_BXX0 ), .sr_B(I_reset_BXX0 ), .vdd(vdd), .vss(vss)); A_2C2N_RB_X4 Iout1_t_buf_func4 (.y(Iout1_d_d4_d1 ), .c1(Iout_en_buf_out0 ), .c2(Iout1_a_B_buf_out0 ), .n1(Iin_d_d4_d1 ), .n2(I_c_f_buf0 ), .pr_B(I_reset_BXX0 ), .sr_B(I_reset_BXX0 ), .vdd(vdd), .vss(vss)); A_2C2N_RB_X4 Iout1_t_buf_func5 (.y(Iout1_d_d5_d1 ), .c1(Iout_en_buf_out0 ), .c2(Iout1_a_B_buf_out0 ), .n1(Iin_d_d5_d1 ), .n2(I_c_f_buf0 ), .pr_B(I_reset_BXX0 ), .sr_B(I_reset_BXX0 ), .vdd(vdd), .vss(vss)); A_2C2N_RB_X4 Iout1_t_buf_func6 (.y(Iout1_d_d6_d1 ), .c1(Iout_en_buf_out0 ), .c2(Iout1_a_B_buf_out0 ), .n1(Iin_d_d6_d1 ), .n2(I_c_f_buf0 ), .pr_B(I_reset_BXX0 ), .sr_B(I_reset_BXX0 ), .vdd(vdd), .vss(vss)); A_2C2N_RB_X4 Iout1_t_buf_func7 (.y(Iout1_d_d7_d1 ), .c1(Iout_en_buf_out0 ), .c2(Iout1_a_B_buf_out0 ), .n1(Iin_d_d7_d1 ), .n2(I_c_f_buf0 ), .pr_B(I_reset_BXX0 ), .sr_B(I_reset_BXX0 ), .vdd(vdd), .vss(vss)); A_2C2N_RB_X4 Iout1_t_buf_func8 (.y(Iout1_d_d8_d1 ), .c1(Iout_en_buf_out0 ), .c2(Iout1_a_B_buf_out0 ), .n1(Iin_d_d8_d1 ), .n2(I_c_f_buf0 ), .pr_B(I_reset_BXX0 ), .sr_B(I_reset_BXX0 ), .vdd(vdd), .vss(vss)); A_2C2N_RB_X4 Iout1_t_buf_func9 (.y(Iout1_d_d9_d1 ), .c1(Iout_en_buf_out0 ), .c2(Iout1_a_B_buf_out0 ), .n1(Iin_d_d9_d1 ), .n2(I_c_f_buf0 ), .pr_B(I_reset_BXX0 ), .sr_B(I_reset_BXX0 ), .vdd(vdd), .vss(vss)); A_2C2N_RB_X4 Iout1_t_buf_func10 (.y(Iout1_d_d10_d1 ), .c1(Iout_en_buf_out0 ), .c2(Iout1_a_B_buf_out0 ), .n1(Iin_d_d10_d1 ), .n2(I_c_f_buf0 ), .pr_B(I_reset_BXX0 ), .sr_B(I_reset_BXX0 ), .vdd(vdd), .vss(vss)); A_2C2N_RB_X4 Iout1_t_buf_func11 (.y(Iout1_d_d11_d1 ), .c1(Iout_en_buf_out0 ), .c2(Iout1_a_B_buf_out0 ), .n1(Iin_d_d11_d1 ), .n2(I_c_f_buf0 ), .pr_B(I_reset_BXX0 ), .sr_B(I_reset_BXX0 ), .vdd(vdd), .vss(vss)); A_2C2N_RB_X4 Iout1_t_buf_func12 (.y(Iout1_d_d12_d1 ), .c1(Iout_en_buf_out0 ), .c2(Iout1_a_B_buf_out0 ), .n1(Iin_d_d12_d1 ), .n2(I_c_f_buf0 ), .pr_B(I_reset_BXX0 ), .sr_B(I_reset_BXX0 ), .vdd(vdd), .vss(vss)); A_2C2N_RB_X4 Iout1_t_buf_func13 (.y(Iout1_d_d13_d1 ), .c1(Iout_en_buf_out0 ), .c2(Iout1_a_B_buf_out0 ), .n1(Iin_d_d13_d1 ), .n2(I_c_f_buf0 ), .pr_B(I_reset_BXX0 ), .sr_B(I_reset_BXX0 ), .vdd(vdd), .vss(vss)); A_2C2N_RB_X4 Iout1_t_buf_func14 (.y(Iout1_d_d14_d1 ), .c1(Iout_en_buf_out0 ), .c2(Iout1_a_B_buf_out0 ), .n1(Iin_d_d14_d1 ), .n2(I_c_f_buf0 ), .pr_B(I_reset_BXX0 ), .sr_B(I_reset_BXX0 ), .vdd(vdd), .vss(vss)); A_2C2N_RB_X4 Iout1_t_buf_func15 (.y(Iout1_d_d15_d1 ), .c1(Iout_en_buf_out0 ), .c2(Iout1_a_B_buf_out0 ), .n1(Iin_d_d15_d1 ), .n2(I_c_f_buf0 ), .pr_B(I_reset_BXX0 ), .sr_B(I_reset_BXX0 ), .vdd(vdd), .vss(vss)); A_2C2N_RB_X4 Iout1_t_buf_func16 (.y(Iout1_d_d16_d1 ), .c1(Iout_en_buf_out0 ), .c2(Iout1_a_B_buf_out0 ), .n1(Iin_d_d16_d1 ), .n2(I_c_f_buf0 ), .pr_B(I_reset_BXX0 ), .sr_B(I_reset_BXX0 ), .vdd(vdd), .vss(vss)); A_2C2N_RB_X4 Iout1_t_buf_func17 (.y(Iout1_d_d17_d1 ), .c1(Iout_en_buf_out0 ), .c2(Iout1_a_B_buf_out0 ), .n1(Iin_d_d17_d1 ), .n2(I_c_f_buf0 ), .pr_B(I_reset_BXX0 ), .sr_B(I_reset_BXX0 ), .vdd(vdd), .vss(vss)); A_2C2N_RB_X4 Iout1_t_buf_func18 (.y(Iout1_d_d18_d1 ), .c1(Iout_en_buf_out0 ), .c2(Iout1_a_B_buf_out0 ), .n1(Iin_d_d18_d1 ), .n2(I_c_f_buf0 ), .pr_B(I_reset_BXX0 ), .sr_B(I_reset_BXX0 ), .vdd(vdd), .vss(vss)); A_2C2N_RB_X4 Iout1_t_buf_func19 (.y(Iout1_d_d19_d1 ), .c1(Iout_en_buf_out0 ), .c2(Iout1_a_B_buf_out0 ), .n1(Iin_d_d19_d1 ), .n2(I_c_f_buf0 ), .pr_B(I_reset_BXX0 ), .sr_B(I_reset_BXX0 ), .vdd(vdd), .vss(vss)); A_2C2N_RB_X4 Iout1_t_buf_func20 (.y(Iout1_d_d20_d1 ), .c1(Iout_en_buf_out0 ), .c2(Iout1_a_B_buf_out0 ), .n1(Iin_d_d20_d1 ), .n2(I_c_f_buf0 ), .pr_B(I_reset_BXX0 ), .sr_B(I_reset_BXX0 ), .vdd(vdd), .vss(vss)); A_2C2N_RB_X4 Iout1_t_buf_func21 (.y(Iout1_d_d21_d1 ), .c1(Iout_en_buf_out0 ), .c2(Iout1_a_B_buf_out0 ), .n1(Iin_d_d21_d1 ), .n2(I_c_f_buf0 ), .pr_B(I_reset_BXX0 ), .sr_B(I_reset_BXX0 ), .vdd(vdd), .vss(vss)); A_2C2N_RB_X4 Iout1_t_buf_func22 (.y(Iout1_d_d22_d1 ), .c1(Iout_en_buf_out0 ), .c2(Iout1_a_B_buf_out0 ), .n1(Iin_d_d22_d1 ), .n2(I_c_f_buf0 ), .pr_B(I_reset_BXX0 ), .sr_B(I_reset_BXX0 ), .vdd(vdd), .vss(vss)); A_2C2N_RB_X4 Iout1_t_buf_func23 (.y(Iout1_d_d23_d1 ), .c1(Iout_en_buf_out0 ), .c2(Iout1_a_B_buf_out0 ), .n1(Iin_d_d23_d1 ), .n2(I_c_f_buf0 ), .pr_B(I_reset_BXX0 ), .sr_B(I_reset_BXX0 ), .vdd(vdd), .vss(vss)); A_2C2N_RB_X4 Iout1_t_buf_func24 (.y(Iout1_d_d24_d1 ), .c1(Iout_en_buf_out0 ), .c2(Iout1_a_B_buf_out0 ), .n1(Iin_d_d24_d1 ), .n2(I_c_f_buf0 ), .pr_B(I_reset_BXX0 ), .sr_B(I_reset_BXX0 ), .vdd(vdd), .vss(vss)); A_2C2N_RB_X4 Iout1_t_buf_func25 (.y(Iout1_d_d25_d1 ), .c1(Iout_en_buf_out0 ), .c2(Iout1_a_B_buf_out0 ), .n1(Iin_d_d25_d1 ), .n2(I_c_f_buf0 ), .pr_B(I_reset_BXX0 ), .sr_B(I_reset_BXX0 ), .vdd(vdd), .vss(vss)); A_2C2N_RB_X4 Iout1_t_buf_func26 (.y(Iout1_d_d26_d1 ), .c1(Iout_en_buf_out0 ), .c2(Iout1_a_B_buf_out0 ), .n1(Iin_d_d26_d1 ), .n2(I_c_f_buf0 ), .pr_B(I_reset_BXX0 ), .sr_B(I_reset_BXX0 ), .vdd(vdd), .vss(vss)); A_2C2N_RB_X4 Iout1_t_buf_func27 (.y(Iout1_d_d27_d1 ), .c1(Iout_en_buf_out0 ), .c2(Iout1_a_B_buf_out0 ), .n1(Iin_d_d27_d1 ), .n2(I_c_f_buf0 ), .pr_B(I_reset_BXX0 ), .sr_B(I_reset_BXX0 ), .vdd(vdd), .vss(vss)); A_2C2N_RB_X4 Iout1_t_buf_func28 (.y(Iout1_d_d28_d1 ), .c1(Iout_en_buf_out0 ), .c2(Iout1_a_B_buf_out0 ), .n1(Iin_d_d28_d1 ), .n2(I_c_f_buf0 ), .pr_B(I_reset_BXX0 ), .sr_B(I_reset_BXX0 ), .vdd(vdd), .vss(vss)); A_2C2N_RB_X4 Iout1_t_buf_func29 (.y(Iout1_d_d29_d1 ), .c1(Iout_en_buf_out0 ), .c2(Iout1_a_B_buf_out0 ), .n1(Iin_d_d29_d1 ), .n2(I_c_f_buf0 ), .pr_B(I_reset_BXX0 ), .sr_B(I_reset_BXX0 ), .vdd(vdd), .vss(vss)); tmpl_0_0dataflow__neuro_0_0sigbuf_360_4 Iout2_a_B_buf (.in(_out2_a_B), .Iout0 (Iout2_a_B_buf_out0 ), .vdd(vdd), .vss(vss)); endmodule