active adeinfo "/home/p302242/simulation/CognigrOne_LR3/test/maestro/results/maestro/.tmpADEDir_p302242/CognigrOne_LR3_test_1/simulation" ("CognigrOne_LR3" "test" "schematic" "spectre") "None" rfstim asiEnvSaveTable "" "No" "" "" "1.0" "Temperature Rise" "0" "2" "" "No" "300" "Steady State" "" nil "" "" "" "" "" nil nil nil "" "" "" "" "" "" "" "" "" "" "" "" "" nil "" "" "" "" "" "name" "node" "0.0" nil nil "" "5" nil "" "" "" "" "" "" "" "" "" "" "" "" "spectre.ic" "spectre.fc" "" "" nil "" "" "" "" "" nil "" nil "" "status" "4" "" "" "" "" "" "" "" "" "" "" "" "" "" "" "" "" "" t "" nil nil "" nil "" "100" "" "16" "" "" "" "" "" "" "" "" "" "" "" "1" "" "" "default" "" "" "" "1" (t) "" nil "Parameter" "" "User-defined" "" "User-defined" "" "Parameter vector" "Vector" "" nil ("# time value") nil nil nil nil nil "" "" nil "" "" sigList nil nil nil asiEnvSaveTable nil 60 "no" "localhost" nil "matlab" "" "" nil 38520 "" stateDpl "no" nil nil nil nil nil nil nil nil "None" nil nil nil nil nil "None" nil nil nil nil nil "None" nil nil nil nil nil "None" nil nil nil nil nil "None" nil nil nil nil nil "None" nil nil nil nil nil "None" nil nil asiEnvSaveTable nil "" "" "beolT" "" "" "" "" nil "" "" nil "" nil nil "" nil "" "yes" "" "" "" "" "Use DFII Layermap" "Direct" nil "" nil "" "" "no" "" "Dynamic" "Use DFII Layermap" "" "No SHE" "Use DFII Layermap" "" nil "" nil nil "" "" "" nil "" "" nil "" nil "" nil "" "" nil nil "" "" "qrcTechFile with external EM Only ICT File" asiEnvSaveTable "" "default" "" nil t nil "" "" "false" nil nil nil nil "" "" "" t nil "sch" nil t "" "" "" nil nil nil "" ("spectre" "cmos_sch" "cmos.sch" "schematic" "veriloga") t (nil nil) "" t nil "" nil nil nil ("pz" "dcmatch" "stb" "tran" "envlp" "ac" "dc" "lf" "noise" "xf" "sp" "pss" "pac" "pstb" "pnoise" "pxf" "psp" "qpss" "qpac" "qpnoise" "qpxf" "qpsp" "hb" "hbac" "hbstb" "hbnoise" "hbxf" "sens" "acmatch") "false" nil nil t nil "default" nil nil nil nil "900" "" nil "" ("spectre") nil "Dynamic" "" nil nil faultRules nil faults nil graphicalStimTable (nil) (nil) (nil) asiEnvSaveTable 6 "" "" "From File" "Default" "" nil "" "%.15g" "" modelSetup (("/projects/bics/designkits/xfab/xp018/cadence/v6_1/spectre/v6_1_6/lp5mos/config.scs" "default") ("/projects/bics/designkits/xfab/xp018/cadence/v6_1/spectre/v6_1_6/lp5mos/param.scs" "3s") ("/projects/bics/designkits/xfab/xp018/cadence/v6_1/spectre/v6_1_6/lp5mos/bip.scs" "tm") ("/projects/bics/designkits/xfab/xp018/cadence/v6_1/spectre/v6_1_6/lp5mos/cap.scs" "tm") ("/projects/bics/designkits/xfab/xp018/cadence/v6_1/spectre/v6_1_6/lp5mos/dio.scs" "tm") ("/projects/bics/designkits/xfab/xp018/cadence/v6_1/spectre/v6_1_6/lp5mos/mos.scs" "tm") ("/projects/bics/designkits/xfab/xp018/cadence/v6_1/spectre/v6_1_6/lp5mos/res.scs" "tm") ("# /projects/bics/designkits/xfab/xp018/cadence/v6_1/spectre/v6_1_6/lp5mos/xp018.scs" "mc_g")) mtsSetup nil nil opPoints nil otherInfo outputs "" t "" "" "" nil "" (("<Click_here_to_add_an_Instance>" "" "" "" "" "" "" "" "all" "" "")) "" nil nil nil t t t t t "" "allpub" "" "" "" "" "" "" "" nil "" "" "" "" "" "" (("modelParameter" "models" "rawfile" "" "" "" t) ("element" "inst" "rawfile" "" "" "" t) ("outputParameter" "output" "rawfile" "" "" "" t) ("designParamVals" "parameters" "rawfile" "" "" "" t) ("primitives" "primitives" "rawfile" "" "" "" t) ("subckts" "subckts" "rawfile" "" "" "" t) ("asserts" "assert" "rawfile" "" "" "" nil) ("extremeinfo" "all" "logfile" "" "yes" "" nil) ("allcap" "allcap" "file" "" "" "" nil) ("<Click_To_Add>" "none" "rawfile" "" "" "" nil)) "node" "name" "" "" "psfxl" nil t sevOutputStruct nil nil "/M8/S" nil nil nil nil nil nil nil nil nil nil nil nil nil nil terminal nil ((color ("y2" "drawing"))) nil t t nil nil 1 nil nil nil nil nil nil "/M8/S" (nil "/M8/S") nil sevOutputStruct nil nil "/y" nil nil nil nil nil nil nil nil nil nil nil nil nil nil net nil ((color ("y3" "drawing"))) nil t t nil nil 2 nil nil nil nil nil nil "/y" (nil "/y") nil nil nil nil expr asiEnvSaveTable nil "Delta Idsat" "" nil nil "0.1" nil "appendage" "off" "Age points" nil "AgeMOS(Spectre Internal)" nil "0.1" "" "AgeMOS(Spectre Internal)" nil "" nil "" t "" "loglog" "agemos" "10" nil "Real" nil nil "GUI" nil "" "" "0" "Age points" "" "agemos" "0.1" "Original" "TMI Default" "" "" nil "" "Years" "" "0.1" "no" nil "all" "" "" "" "10" nil "" "" "error" nil "" "" "10" "" "" "" "TMI Default" "" "" "Reliability" nil "" "" "" "" "" "" "threshold" nil "no" "" "TMI Default" "" "" nil nil "" "AgeMOS(Spectre Internal)" "" "" "" t nil "" nil "" nil "" "none" nil "" "calculate" nil "Total steps" "" "" "Reliability" nil "calculate" "" nil "" "" "" "" nil nil "" "GUI" t nil "lin" "" "lifetime" "" "" "" "TMI Aging" "" t nil "" nil nil "" "" "" nil "All" "liberal (1)" "" nil "" nil "Years" "" "" "calculate" "" "calculate" "" "" "Include" "none" "" (t t t) "ids" "Years" "" "" "" "singlestress" "limit" nil "" nil nil "" (t t) "include" "" "include" "" "" "input.bs0" "" "Standard aging" "relxpert" nil nil nil "" "" "" "" "single" "" nil "all" "" "" nil nil "" "" "" "" nil "" "age" "" "Spectre native" "" "" t nil "" "" "" _simulationFiles nil nil nil nil nil nil "" "" nil "" nil nil nil "off" nil nil nil nil "" nil "" asiEnvSaveTable nil "" "" "" nil "" "" "5" "" "" "" "" nil "" "" "" "" "1.0" nil nil nil (nil nil nil nil nil) nil "" "" nil (nil nil nil nil nil) "" "" "" "27" "" "" "" "" "" "" "" "" "1e-3" "" "" "" "" "" "" "" "" "" "" "" "" "" "5" nil "" "" "5" nil "1e-3" "None" "" "" "" nil "" "" nil "" "" "" "None" "" nil "" "" "" "" "" "" "" "" "" "" "" "" "" "" "1.0" "" nil "" "" "" nil "" "27" "" "" "None" "" "" nil "" nil "" nil nil "" nil "None" "" nil nil "psf" nil nil "" "80" "1e-6" nil "" "" "../psf/sens.output" "1e-15" "None" "" nil "1" "" "" "" "" "" "" nil "" "" "None" "" "" nil "" nil "" "" "0" "None" "" "" subckt nil asiEnvSaveTable nil nil nil nil "" "" "Default" t "APS" "Multi-Threading" "None" "APS" nil "MX" nil "" "2" nil "" "" nil "Default" 3.3 nil nil "1" "MX" "Do not override" "APS" nil nil nil "SSH" nil nil "Auto" nil nil "" "Auto" "" "" nil nil nil nil "" "" "None" "" nil variableTable sevVariableStruct "Ibias" "500n" 1 sevVariableStruct "Ileft" "100n" 2 sevVariableStruct "Iright" "50n" 3