active adeinfo ("CognigrOne_LR3" "cDPI_hb" "schematic" "spectre") "None" "/home/p302242/simulation/CognigrOne_LR3/cDPI_hb/maestro/results/maestro/.tmpADEDir_p302242/CognigrOne_LR3_cDPI_hb_1/simulation" rfstim asiEnvSaveTable "" "No" "" "" "1.0" "Temperature Rise" "0" "2" "" "No" "300" "Steady State" "" nil "" "" "" "" "" nil nil nil "" "" "" "" "" "" "" "" "" "" "" "" "" nil "" "" "" "" "" "name" "node" "0.0" nil nil "" "5" nil "" "" "" "" "" "" "" "" "" "" "" "" "spectre.ic" "spectre.fc" "" "" nil "" "" "" "" "" nil "" nil "" "status" "4" "" "" "" "" "" "" "" "" "" "" "" "" "" "" "" "" "" t "" nil nil "" nil "" "100" "" "16" "" "" "" "" "" "" "" "" "" "" "" "1" "" "" "default" "" "" "" "1" (t) "" nil "Parameter" "" "User-defined" "" "User-defined" "" "Parameter vector" "Vector" "" nil ("# time value") nil nil nil nil nil "" "" nil "" "" sigList ((selectionObject netProbe (probe:NULL) validSelectionObject t voltage "1" fullName "/Vw_out" type net)) nil nil asiEnvSaveTable 38520 "no" "" "localhost" nil "" "matlab" "" nil nil 60 stateDpl "no" nil nil nil nil nil nil nil nil "None" nil nil nil nil nil "None" nil nil nil nil nil "None" nil nil nil nil nil "None" nil nil nil nil nil "None" nil nil nil nil nil "None" nil nil nil nil nil "None" nil nil asiEnvSaveTable "" nil "" "" "" "" "" "" "" nil "" nil "" nil nil nil "" "yes" "" "" "" "Use DFII Layermap" nil "" nil "" "no" "" "Use DFII Layermap" "" "Use DFII Layermap" "" "" nil "" "No SHE" nil nil "Direct" "" "" "" nil "Dynamic" "" "" "" "" nil "" "" nil "" nil "" "beolT" nil nil "" "qrcTechFile with external EM Only ICT File" asiEnvSaveTable "default" t nil "" nil nil nil "" "" t "false" nil nil "" t "" t nil "" "sch" nil "" "" "" nil nil t "" (nil nil) nil "" "" ("spectre" "cmos_sch" "cmos.sch" "schematic" "veriloga") "" "" nil nil "" ("pz" "dcmatch" "stb" "tran" "envlp" "ac" "dc" "lf" "noise" "xf" "sp" "pss" "pac" "pstb" "pnoise" "pxf" "psp" "qpss" "qpac" "qpnoise" "qpxf" "qpsp" "hb" "hbac" "hbstb" "hbnoise" "hbxf" "sens" "acmatch") "false" nil nil nil "default" t nil ("spectre") nil nil nil nil "900" "" nil "Dynamic" nil nil nil nil faultRules nil faults nil graphicalStimTable (nil) (nil) (nil) asiEnvSaveTable 6 "" "" "From File" "Default" "" "" "%.15g" "" nil modelSetup (("/projects/bics/designkits/xfab/xp018/cadence/v6_1/spectre/v6_1_6/lp5mos/config.scs" "default") ("/projects/bics/designkits/xfab/xp018/cadence/v6_1/spectre/v6_1_6/lp5mos/param.scs" "3s") ("/projects/bics/designkits/xfab/xp018/cadence/v6_1/spectre/v6_1_6/lp5mos/bip.scs" "tm") ("/projects/bics/designkits/xfab/xp018/cadence/v6_1/spectre/v6_1_6/lp5mos/cap.scs" "tm") ("/projects/bics/designkits/xfab/xp018/cadence/v6_1/spectre/v6_1_6/lp5mos/dio.scs" "tm") ("/projects/bics/designkits/xfab/xp018/cadence/v6_1/spectre/v6_1_6/lp5mos/mos.scs" "tm") ("/projects/bics/designkits/xfab/xp018/cadence/v6_1/spectre/v6_1_6/lp5mos/res.scs" "tm") ("# /projects/bics/designkits/xfab/xp018/cadence/v6_1/spectre/v6_1_6/lp5mos/xp018.scs" "mc_g")) mtsSetup nil nil opPoints nil otherInfo outputs "" t "" "" "" nil "" (("<Click_here_to_add_an_Instance>" "" "" "" "" "" "" "" "all" "" "")) "" nil nil nil t t t t t "" "allpub" "" "" "" "" "" "" "" nil "" "" "" "" "" "" (("modelParameter" "models" "rawfile" "" "" "" t) ("element" "inst" "rawfile" "" "" "" t) ("outputParameter" "output" "rawfile" "" "" "" t) ("designParamVals" "parameters" "rawfile" "" "" "" t) ("primitives" "primitives" "rawfile" "" "" "" t) ("subckts" "subckts" "rawfile" "" "" "" t) ("asserts" "assert" "rawfile" "" "" "" nil) ("extremeinfo" "all" "logfile" "" "yes" "" nil) ("allcap" "allcap" "file" "" "" "" nil) ("<Click_To_Add>" "none" "rawfile" "" "" "" nil)) "node" "name" "" "" "psfxl" nil t sevOutputStruct nil nil "/Vw_out" nil nil nil nil nil nil nil nil nil nil nil nil nil nil net nil ((color ("y3" "drawing"))) nil t t nil nil 1 nil nil nil nil nil nil "/Vw_out" nil nil nil nil nil expr asiEnvSaveTable nil "appendage" "" nil nil "0.1" nil "Delta Idsat" "" "AgeMOS(Spectre Internal)" nil "0.1" nil "AgeMOS(Spectre Internal)" "" "" "off" "Age points" "" nil "10" "Real" nil "" "agemos" "loglog" "" t nil nil "0.1" "TMI Default" nil "Age points" "" "agemos" "" "" "0" nil "Original" "GUI" "" nil "" "" nil "no" "" "" "0.1" "all" "" "" "" nil "Years" "10" "" "" "" "" "" "" "" "" "10" "TMI Default" "error" nil "TMI Default" "" "" "" "threshold" "no" "" "" "" "" "" nil "Reliability" nil "" "" nil nil "none" nil "" "" "" nil "AgeMOS(Spectre Internal)" "" nil t nil "" "" "" nil nil "" "Total steps" "calculate" "Reliability" "" nil "" "" "" "calculate" "lifetime" nil "" "" "" "TMI Aging" nil t "lin" "" "GUI" nil "" "" "" "All" nil "liberal (1)" nil "" "" "" "" nil "" nil t "" nil "Include" "" "calculate" "" "" "" "calculate" "" nil "" "" "Years" "none" nil (t t t) nil "" "singlestress" nil "" "" "limit" "" "ids" "Years" nil "include" nil "" "Standard aging" "relxpert" "" "include" nil "" "" "input.bs0" "" "" (t t) "" "" "" "" "" nil nil "single" nil "" "all" "" "" "" "" "" "age" "" nil t "" "Spectre native" "" "" nil _simulationFiles nil nil nil nil "off" "" nil nil nil nil "" nil "" nil nil nil nil "" "" nil nil asiEnvSaveTable "" "5" "" "" "" "" nil "" nil "" "" nil (nil nil nil nil nil) nil "" nil (nil nil nil nil nil) "" nil "" "" nil "" nil "" "" "1.0" "" "" "27" "" "" "" "" "" "" "" nil "" "" "" "" "1e-3" "" "" "" "" "" "" "" "" "" "" nil "" "5" "" "" "None" "5" "" nil nil "" "" "" "1e-3" "" "" "" "" nil "" "" "" "" "None" nil "" "" "" "" "" "" "" "" "" "1.0" "" "" "" "" "" "" nil "" nil nil "27" nil nil "" "" "None" "" "" "" "" "" nil nil "" "None" nil nil "" "psf" nil nil "1e-15" "None" "" "1e-6" "" "" "" "None" "1" "" "" nil "" "" "" "" "" "" "" nil "" "" "" "None" nil "" "../psf/sens.output" nil "80" "" "0" subckt nil asiEnvSaveTable "Auto" nil "" "SSH" nil "APS" nil nil "MX" "Do not override" nil "" "None" nil nil "" nil "" nil nil nil nil "" "Auto" nil "" "None" nil "APS" "APS" "Multi-Threading" nil "" "Default" t nil nil nil nil nil nil "1" "" "Default" "" nil 3.3 "2" "" "MX" "" variableTable sevVariableStruct "I_bias_n" "100p" 1 sevVariableStruct "I_bias_p" "100p" 2 sevVariableStruct "Ialp" "100p" 3 sevVariableStruct "Ibet" "2p" 4 sevVariableStruct "inn_del" "1" 5 sevVariableStruct "inn_per" "0.02" 6 sevVariableStruct "inn_pw" "1u" 7 sevVariableStruct "inp_del" "1" 8 sevVariableStruct "inp_per" "0.02" 9 sevVariableStruct "inp_pw" "1u" 10 sevVariableStruct "Ith" "7u" 11 sevVariableStruct "Vbulk_n" "0.3" 12