active adeinfo "None" "/home/p302242/simulation/CognigrOne_LR3/hebbian_block_LR3_tb/maestro/results/maestro/.tmpADEDir_p302242/CognigrOne_LR3_hebbian_block_LR3_tb_1/simulation" ("CognigrOne_LR3" "hebbian_block_LR3_tb" "schematic" "spectre") rfstim asiEnvSaveTable "" "No" "" "" "1.0" "Temperature Rise" "0" "2" "" "No" "300" "Steady State" "" nil "" "" "" "" "" nil nil nil "" "" "" "" "" "" "" "" "" "" "" "" "" nil "" "" "" "" "" "name" "node" "0.0" nil nil "" "5" nil "" "" "" "" "" "" "" "" "" "" "" "" "spectre.ic" "spectre.fc" "" "" nil "" "" "" "" "" nil "" nil "" "status" "4" "" "" "" "" "" "" "" "" "" "" "" "" "" "" "" "" "" t "" nil nil "" nil "" "100" "" "16" "" "" "" "" "" "" "" "" "" "" "" "1" "" "" "default" "" "conservative" "" "1" (t) "" nil "Parameter" "" "User-defined" "" "User-defined" "" "Parameter vector" "Vector" "" nil ("# time value") nil nil nil nil nil "" "" nil "" "" sigList nil ((selectionObject netProbe (probe:NULL) validSelectionObject t voltage "1.8" fullName "/Vw" type net)) nil asiEnvSaveTable "" "localhost" nil 38520 "" nil "no" 60 nil "" "matlab" stateDpl "no" nil nil nil nil nil nil nil nil "None" nil nil nil nil nil "None" nil nil nil nil nil "None" nil nil nil nil nil "None" nil nil nil nil nil "None" nil nil nil nil nil "None" nil nil nil nil nil "None" nil nil asiEnvSaveTable "" "" nil "" "" "" "" "" "" "" "" "" nil "" nil nil nil nil "" "yes" "" "" "" "Use DFII Layermap" nil "" nil "" "no" "Use DFII Layermap" "" "Use DFII Layermap" "" "" nil "" nil nil "" "Direct" "" "" nil "" "" "" nil "" "No SHE" "" nil nil "" "" "Dynamic" "beolT" nil nil "" "qrcTechFile with external EM Only ICT File" asiEnvSaveTable nil t "" nil nil "" "" "" "" "false" nil "default" nil "" t "" nil t "" "" "sch" nil "" "" nil nil nil nil "" (nil nil) "" t "" ("spectre") nil nil ("pz" "dcmatch" "stb" "tran" "envlp" "ac" "dc" "lf" "noise" "xf" "sp" "pss" "pac" "pstb" "pnoise" "pxf" "psp" "qpss" "qpac" "qpnoise" "qpxf" "qpsp" "hb" "hbac" "hbstb" "hbnoise" "hbxf" "sens" "acmatch") t "default" ("spectre" "cmos_sch" "cmos.sch" "schematic" "veriloga") "false" nil nil nil nil t nil nil "" nil nil nil "900" "" nil nil "Dynamic" nil nil faultRules nil faults nil graphicalStimTable (nil) (nil) (nil) asiEnvSaveTable 6 "" "" "From File" "Default" "" "" nil "" "%.15g" modelSetup (("/projects/bics/designkits/xfab/xp018/cadence/v6_1/spectre/v6_1_6/lp5mos/config.scs" "default") ("/projects/bics/designkits/xfab/xp018/cadence/v6_1/spectre/v6_1_6/lp5mos/param.scs" "3s") ("/projects/bics/designkits/xfab/xp018/cadence/v6_1/spectre/v6_1_6/lp5mos/bip.scs" "tm") ("/projects/bics/designkits/xfab/xp018/cadence/v6_1/spectre/v6_1_6/lp5mos/cap.scs" "tm") ("/projects/bics/designkits/xfab/xp018/cadence/v6_1/spectre/v6_1_6/lp5mos/dio.scs" "tm") ("/projects/bics/designkits/xfab/xp018/cadence/v6_1/spectre/v6_1_6/lp5mos/mos.scs" "tm") ("/projects/bics/designkits/xfab/xp018/cadence/v6_1/spectre/v6_1_6/lp5mos/res.scs" "tm") ("# /projects/bics/designkits/xfab/xp018/cadence/v6_1/spectre/v6_1_6/lp5mos/xp018.scs" "mc_g")) mtsSetup nil nil opPoints nil otherInfo outputs "" t "" "" "" nil "" (("<Click_here_to_add_an_Instance>" "" "" "" "" "" "" "" "all" "" "")) "" nil nil nil t t t t t "" "allpub" "" "" "" "" "" "" "" nil "" "" "" "" "" "" (("modelParameter" "models" "rawfile" "" "" "" t) ("element" "inst" "rawfile" "" "" "" t) ("outputParameter" "output" "rawfile" "" "" "" t) ("designParamVals" "parameters" "rawfile" "" "" "" t) ("primitives" "primitives" "rawfile" "" "" "" t) ("subckts" "subckts" "rawfile" "" "" "" t) ("asserts" "assert" "rawfile" "" "" "" nil) ("extremeinfo" "all" "logfile" "" "yes" "" nil) ("allcap" "allcap" "file" "" "" "" nil) ("<Click_To_Add>" "none" "rawfile" "" "" "" nil)) "node" "name" "" "" "psfxl" nil t sevOutputStruct nil nil "/Vspk_pre" nil nil nil nil nil nil nil nil nil nil nil nil nil nil net nil ((color ("y2" "drawing"))) nil t t nil nil 1 nil nil nil nil nil nil "/Vspk_pre" nil nil sevOutputStruct nil nil "/Vw" nil nil nil nil nil nil nil nil nil nil nil nil nil nil net nil ((color ("y3" "drawing"))) nil t t nil nil 2 nil nil nil nil nil nil "/Vw" nil nil sevOutputStruct nil nil "/Vspk_post" nil nil nil nil nil nil nil nil nil nil nil nil nil nil net nil ((color ("y4" "drawing"))) nil t t nil nil 3 nil nil nil nil nil nil "/Vspk_post" nil nil sevOutputStruct nil nil "/I12/M18/S" nil nil nil nil nil nil nil nil nil nil nil nil nil nil terminal nil ((color ("y5" "drawing"))) nil t nil nil nil 4 nil nil nil nil nil nil "/I12/M18/S" nil nil sevOutputStruct nil nil "/I12/M11/D" nil nil nil nil nil nil nil nil nil nil nil nil nil nil terminal nil ((color ("y6" "drawing"))) nil t nil nil nil 5 nil nil nil nil nil nil "/I12/M11/D" nil nil sevOutputStruct nil nil "/I12/M12/D" nil nil nil nil nil nil nil nil nil nil nil nil nil nil terminal nil ((color ("y7" "drawing"))) nil t nil nil nil 6 nil nil nil nil nil nil "/I12/M12/D" nil nil nil nil nil expr asiEnvSaveTable nil "Delta Idsat" nil "appendage" "" nil nil "0.1" nil "AgeMOS(Spectre Internal)" "" "off" "Age points" "" "" "AgeMOS(Spectre Internal)" nil "0.1" "" t nil nil "" nil "10" "Real" nil "" "agemos" "loglog" "" "" "0" nil "Original" "GUI" "0.1" "TMI Default" nil "Age points" "" "agemos" "" "0.1" "all" "" "" "" nil "Years" "10" "" nil "" nil "no" "" "" "" "" "10" "TMI Default" "error" nil "" "" "" "" "" "" "" "" "" "" "" nil "Reliability" nil "" "TMI Default" "" "" "" "threshold" "no" "" nil t "" "" nil "" "" nil nil "none" nil "" "" "" nil "AgeMOS(Spectre Internal)" "" nil "" "" "calculate" "" "" nil nil "Total steps" "Reliability" "calculate" "" "GUI" nil "" "" "" "lifetime" nil "" "" "" "TMI Aging" nil t "lin" "" "" nil "" nil t "All" "liberal (1)" nil nil "" "" "" "" "calculate" "" nil "" "" "Years" "none" "" nil "Include" "" "calculate" "" "" "" "limit" "" "ids" "Years" nil (t t t) nil "" "singlestress" nil "" "include" nil "" "" "input.bs0" "" "" (t t) nil "include" nil "" "Standard aging" "relxpert" nil "" "all" "" "" "" "" "" "" "" "" nil nil "single" t "" "Spectre native" "" "" nil "" "" "age" "" nil _simulationFiles nil "" nil nil nil "" nil "" "" nil nil nil nil nil "" nil nil nil nil nil "off" asiEnvSaveTable nil "" "" "" "" nil "" "" "" "" nil "" "" "" nil "" "" (nil nil nil nil nil) nil nil "5" nil "" (nil nil nil nil nil) nil "" "" "1.0" "" "" "" "" "" "" "" "" nil "" "1e-3" "" "" "" "" "" "" "" "" "" "" "" "" "" nil "" nil "5" "" "" "" "5" "1e-3" "" nil "" "" "None" "" "None" "" "" "" "" nil "" "" "" nil "" "" "" "" "" "" "" "" "" "" "" "1.0" "" "" "" "" "27" "None" "" "27" nil "" "" "" "" nil nil "" nil "" nil "" "" "psf" nil "" nil nil "None" "1e-6" "" "None" nil "1e-15" "" nil nil "" "" "1" "" nil "" "" "" "" "" "None" "" nil "" "" nil "" nil "" "0" "" "../psf/sens.output" "" "80" "None" "" subckt nil asiEnvSaveTable "SSH" nil "Auto" nil "" "" "MX" "Do not override" "APS" nil nil "" nil "" nil "" "None" nil nil nil "" "Auto" "" nil nil nil nil "APS" "Multi-Threading" "None" nil "APS" nil nil nil nil nil nil "" "Default" nil t "Default" "" nil 3.3 "1" "MX" "" "2" "" variableTable sevVariableStruct "Ica_pre" "20n" 1 sevVariableStruct "inp_pr_delay" "1" 2 sevVariableStruct "inp_pr_period" "1" 3 sevVariableStruct "inp_pr_pw" "0.01" 4 sevVariableStruct "inp_pt_delay" "0.5" 5 sevVariableStruct "inp_pt_period" "0.2" 6 sevVariableStruct "inp_pt_pw" "1u" 7 sevVariableStruct "Vc_dep" "10n" 8 sevVariableStruct "Vc_dep2" "10n" 9 sevVariableStruct "Vc_pot" "100n" 10 sevVariableStruct "Vhi_post" "0" 11 sevVariableStruct "Vth_pre" "10n" 12