actlib_dataflow_neuro/test/unit_tests/texel_dualcore_glue/split_modules/tmpl_0_0dataflow__neuro_0_0.../netlist/verilog.v

799 lines
24 KiB
Coq
Raw Normal View History

2022-06-17 11:56:01 +02:00
module tmpl_0_0dataflow__neuro_0_0decoder__dualrail__en_39_7348_4(Iin_d0_d0 , Iin_d0_d1 , Iin_d1_d0 , Iin_d1_d1 , Iin_d2_d0 , Iin_d2_d1 , Iin_d3_d0 , Iin_d3_d1 , Iin_d4_d0 , Iin_d4_d1 , Iin_d5_d0 , Iin_d5_d1 , Iin_d6_d0 , Iin_d6_d1 , Iin_d7_d0 , Iin_d7_d1 , Iin_d8_d0 , Iin_d8_d1 , en, Iout0 , Iout1 , Iout2 , Iout3 , Iout4 , Iout5 , Iout6 , Iout7 , Iout8 , Iout9 , Iout10 , Iout11 , Iout12 , Iout13 , Iout14 , Iout15 , Iout16 , Iout17 , Iout18 , Iout19 , Iout20 , Iout21 , Iout22 , Iout23 , Iout24 , Iout25 , Iout26 , Iout27 , Iout28 , Iout29 , Iout30 , Iout31 , Iout32 , Iout33 , Iout34 , Iout35 , Iout36 , Iout37 , Iout38 , Iout39 , Iout40 , Iout41 , Iout42 , Iout43 , Iout44 , Iout45 , Iout46 , Iout47 , Iout48 , Iout49 , Iout50 , Iout51 , Iout52 , Iout53 , Iout54 , Iout55 , Iout56 , Iout57 , Iout58 , Iout59 , Iout60 , Iout61 , Iout62 , Iout63 , Iout64 , Iout65 , Iout66 , Iout67 , Iout68 , Iout69 , Iout70 , Iout71 , Iout72 , Iout73 , Iout74 , Iout75 , Iout76 , Iout77 , Iout78 , Iout79 , Iout80 , Iout81 , Iout82 , Iout83 , Iout84 , Iout85 , Iout86 , Iout87 , Iout88 , Iout89 , Iout90 , Iout91 , Iout92 , Iout93 , Iout94 , Iout95 , Iout96 , Iout97 , Iout98 , Iout99 , Iout100 , Iout101 , Iout102 , Iout103 , Iout104 , Iout105 , Iout106 , Iout107 , Iout108 , Iout109 , Iout110 , Iout111 , Iout112 , Iout113 , Iout114 , Iout115 , Iout116 , Iout117 , Iout118 , Iout119 , Iout120 , Iout121 , Iout122 , Iout123 , Iout124 , Iout125 , Iout126 , Iout127 , Iout128 , Iout129 , Iout130 , Iout131 , Iout132 , Iout133 , Iout134 , Iout135 , Iout136 , Iout137 , Iout138 , Iout139 , Iout140 , Iout141 , Iout142 , Iout143 , Iout144 , Iout145 , Iout146 , Iout147 , Iout148 , Iout149 , Iout150 , Iout151 , Iout152 , Iout153 , Iout154 , Iout155 , Iout156 , Iout157 , Iout158 , Iout159 , Iout160 , Iout161 , Iout162 , Iout163 , Iout164 , Iout165 , Iout166 , Iout167 , Iout168 , Iout169 , Iout170 , Iout171 , Iout172 , Iout173 , Iout174 , Iout175 , Iout176 , Iout177 , Iout178 , Iout179 , Iout180 , Iout181 , Iout182 , Iout183 , Iout184 , Iout185 , Iout186 , Iout187 , Iout188 , Iout189 , Iout190 , Iout191 , Iout192 , Iout193 , Iout194 , Iout195 , Iout196 , Iout197 , Iout198 , Iout199 , Iout200 , Iout201 , Iout202 , Iout203 , Iout204 , Iout205 , Iout206 , Iout207 , Iout208 , Iout209 , Iout210 , Iout211 , Iout212 , Iout213 , Iout214 , Iout215 , Iout216 , Iout217 , Iout218 , Iout219 , Iout220 , Iout221 , Iout222 , Iout223 , Iout224 , Iout225 , Iout226 , Iout227 , Iout228 , Iout229 , Iout230 , Iout231 , Iout232 , Iout233 , Iout234 , Iout235 , Iout236 , Iout237 , Iout238 , Iout239 , Iout240 , Iout241 , Iout242 , Iout243 , Iout244 , Iout245 , Iout246 , Iout247 , Iout248 , Iout249 , Iout250 , Iout251 , Iout252 , Iout253 , Iout254 , Iout255 , Iout256 , Iout257 , Iout258 , Iout259 , Iout260 , Iout261 , Iout262 , Iout263 , Iout264 , Iout265 , Iout266 , Iout267 , Iout268 , Iout269 , Iout270 , Iout271 , Iout272 , Iout273 , Iout274 , Iout275 , Iout276 , Iout277 , Iout278 , Iout279 , Iout280 , Iout281 , Iout282 , Iout283 , Iout284 , Iout285 , Iout286 , Iout287 , Iout288 , Iout289 , Iout290 , Iout291 , Iout292 , Iout293 , Iout294 , Iout295 , Iout296 , Iout297 , Iout298 , Iout299 , Iout300 , Iout301 , Iout302 , Iout303 , Iout304 , Iout305 , Iout306 , Iout307 , Iout308 , Iout309 , Iout310 , Iout311 , Iout312 , Iout313 , Iout314 , Iout315 , Iout316 , Iout317 , Iout318 , Iout319 , Iout320 , Iout321 , Iout322 , Iout323 , Iout324 , Iout325 , Iout326 , Iout327 , Iout328 , Iout329 , Iout330 , Iout331 , Iout332 , Iout333 , Iout334 , Iout335 , Iout336 , Iout337 , Iout338 , Iout339 , Iout340 , Iout341 , Iout342 , Iout343 , Iout344 , Iout345 , Iout346 , Iout347 , vdd, vss);
input vdd;
input vss;
input Iin_d0_d0 ;
input Iin_d0_d1 ;
input Iin_d1_d0 ;
input Iin_d1_d1 ;
input Iin_d2_d0 ;
input Iin_d2_d1 ;
input Iin_d3_d0 ;
input Iin_d3_d1 ;
input Iin_d4_d0 ;
input Iin_d4_d1 ;
input Iin_d5_d0 ;
input Iin_d5_d1 ;
input Iin_d6_d0 ;
input Iin_d6_d1 ;
input Iin_d7_d0 ;
input Iin_d7_d1 ;
input Iin_d8_d0 ;
input Iin_d8_d1 ;
input en;
// -- signals ---
wire Iin_d8_d0 ;
output Iout106 ;
output Iout208 ;
output Iout315 ;
output Iout84 ;
output Iout139 ;
output Iout260 ;
output Iout90 ;
wire Ien_ands_t7_y ;
output Iout277 ;
wire Ien_ands_t4_y ;
output Iout141 ;
wire Ien_ands_t0_y ;
output Iout146 ;
output Iout148 ;
output Iout346 ;
output Iout299 ;
output Iout103 ;
output Iout159 ;
output Iout318 ;
output Iout294 ;
output Iout142 ;
output Iout253 ;
output Iout138 ;
output Iout19 ;
output Iout177 ;
output Iout216 ;
output Iout242 ;
output Iout121 ;
output Iout26 ;
wire Ien_ands_t1_y ;
output Iout189 ;
output Iout220 ;
wire Iin_d1_d0 ;
output Iout344 ;
output Iout182 ;
output Iout209 ;
output Iout301 ;
output Iout43 ;
output Iout125 ;
wire Idecoder_final_refresh_d5_d0 ;
wire Idecoder_final_refresh_d3_d1 ;
output Iout296 ;
output Iout112 ;
output Iout69 ;
wire Ien_ands_t2_y ;
output Iout168 ;
output Iout115 ;
output Iout250 ;
output Iout169 ;
output Iout111 ;
wire Iin_d4_d1 ;
output Iout284 ;
output Iout62 ;
output Iout229 ;
wire Iin_d5_d0 ;
output Iout83 ;
output Iout143 ;
wire Idecoder_final_refresh_d8_d0 ;
output Iout40 ;
output Iout235 ;
wire Idecoder_final_refresh_d2_d1 ;
wire Idecoder_final_refresh_d1_d1 ;
output Iout52 ;
output Iout149 ;
output Iout219 ;
output Iout332 ;
output Iout241 ;
output Iout163 ;
output Iout21 ;
output Iout165 ;
output Iout218 ;
output Iout88 ;
output Iout11 ;
output Iout183 ;
output Iout340 ;
output Iout78 ;
output Iout36 ;
output Iout199 ;
output Iout273 ;
output Iout166 ;
output Iout258 ;
output Iout80 ;
output Iout333 ;
output Iout136 ;
output Iout329 ;
output Iout86 ;
output Iout16 ;
output Iout211 ;
output Iout314 ;
output Iout261 ;
wire Ien_ands_f2_y ;
output Iout140 ;
wire Idecoder_final_refresh_d0_d0 ;
output Iout281 ;
output Iout82 ;
output Iout153 ;
output Iout298 ;
output Iout60 ;
output Iout3 ;
output Iout227 ;
output Iout306 ;
output Iout274 ;
output Iout131 ;
output Iout186 ;
output Iout309 ;
output Iout67 ;
output Iout133 ;
wire Idecoder_final_refresh_d2_d0 ;
output Iout79 ;
output Iout321 ;
output Iout311 ;
output Iout102 ;
wire Ien_ands_f5_y ;
output Iout120 ;
output Iout347 ;
output Iout105 ;
output Iout54 ;
output Iout221 ;
output Iout17 ;
output Iout145 ;
output Iout214 ;
wire Iin_d7_d1 ;
output Iout303 ;
output Iout240 ;
output Iout38 ;
wire Ien_ands_t8_y ;
output Iout201 ;
output Iout251 ;
output Iout151 ;
output Iout107 ;
output Iout181 ;
output Iout316 ;
output Iout279 ;
output Iout248 ;
output Iout13 ;
output Iout317 ;
output Iout263 ;
output Iout172 ;
wire Iin_d6_d0 ;
output Iout257 ;
output Iout32 ;
output Iout195 ;
output Iout96 ;
output Iout30 ;
output Iout193 ;
output Iout76 ;
output Iout57 ;
output Iout226 ;
output Iout152 ;
output Iout95 ;
output Iout205 ;
wire Iin_d0_d0 ;
output Iout252 ;
output Iout129 ;
output Iout179 ;
output Iout91 ;
output Iout73 ;
wire Ien_ands_f0_y ;
output Iout123 ;
output Iout157 ;
output Iout117 ;
output Iout155 ;
output Iout341 ;
output Iout280 ;
output Iout81 ;
output Iout287 ;
output Iout185 ;
wire Iin_d7_d0 ;
wire Idecoder_final_refresh_d6_d1 ;
output Iout158 ;
output Iout173 ;
wire Iin_d3_d1 ;
output Iout319 ;
output Iout70 ;
output Iout6 ;
output Iout116 ;
output Iout110 ;
output Iout41 ;
output Iout238 ;
output Iout245 ;
wire Ien_ands_f6_y ;
output Iout170 ;
output Iout94 ;
output Iout0 ;
wire Ien_ands_f1_y ;
output Iout130 ;
wire Iin_d6_d1 ;
output Iout295 ;
output Iout272 ;
output Iout285 ;
output Iout66 ;
output Iout12 ;
wire Ien_ands_f8_y ;
output Iout233 ;
output Iout266 ;
output Iout124 ;
output Iout282 ;
output Iout22 ;
output Iout9 ;
wire Ien_ands_t3_y ;
wire Ien_ands_f3_y ;
output Iout217 ;
output Iout302 ;
output Iout39 ;
output Iout203 ;
output Iout236 ;
output Iout322 ;
output Iout50 ;
output Iout206 ;
output Iout77 ;
wire Iin_d5_d1 ;
output Iout292 ;
output Iout5 ;
output Iout134 ;
output Iout8 ;
output Iout7 ;
output Iout135 ;
output Iout210 ;
wire Iin_d8_d1 ;
output Iout92 ;
output Iout53 ;
output Iout2 ;
output Iout222 ;
output Iout278 ;
output Iout269 ;
output Iout313 ;
output Iout262 ;
output Iout29 ;
output Iout194 ;
output Iout178 ;
output Iout323 ;
output Iout243 ;
output Iout127 ;
output Iout293 ;
output Iout259 ;
output Iout255 ;
output Iout312 ;
output Iout74 ;
output Iout44 ;
output Iout305 ;
output Iout108 ;
wire Idecoder_final_refresh_d3_d0 ;
output Iout109 ;
output Iout132 ;
output Iout89 ;
output Iout87 ;
output Iout335 ;
output Iout308 ;
output Iout93 ;
output Iout28 ;
output Iout191 ;
output Iout291 ;
output Iout249 ;
output Iout345 ;
output Iout290 ;
output Iout14 ;
output Iout326 ;
output Iout288 ;
output Iout65 ;
output Iout47 ;
output Iout234 ;
wire Idecoder_final_refresh_d4_d1 ;
output Iout343 ;
output Iout297 ;
output Iout55 ;
output Iout224 ;
output Iout256 ;
output Iout162 ;
output Iout324 ;
output Iout320 ;
output Iout42 ;
output Iout18 ;
output Iout1 ;
wire Ien_ands_t5_y ;
output Iout213 ;
output Iout237 ;
wire Idecoder_final_refresh_d8_d1 ;
output Iout61 ;
output Iout10 ;
output Iout154 ;
output Iout230 ;
output Iout31 ;
output Iout196 ;
wire Isb_en_out0 ;
wire Idecoder_final_refresh_d6_d0 ;
output Iout334 ;
output Iout304 ;
output Iout289 ;
output Iout161 ;
output Iout337 ;
output Iout71 ;
output Iout184 ;
wire Idecoder_final_refresh_d7_d1 ;
output Iout300 ;
output Iout46 ;
output Iout25 ;
output Iout190 ;
output Iout328 ;
output Iout271 ;
output Iout122 ;
output Iout286 ;
output Iout15 ;
output Iout212 ;
wire Iin_d2_d1 ;
output Iout283 ;
output Iout150 ;
output Iout64 ;
output Iout58 ;
output Iout225 ;
output Iout231 ;
output Iout330 ;
output Iout264 ;
output Iout75 ;
wire Idecoder_final_refresh_d7_d0 ;
output Iout267 ;
output Iout147 ;
output Iout270 ;
output Iout59 ;
output Iout228 ;
output Iout128 ;
output Iout204 ;
wire Iin_d1_d1 ;
wire en;
output Iout137 ;
output Iout160 ;
output Iout99 ;
output Iout33 ;
output Iout198 ;
wire Iin_d0_d1 ;
output Iout331 ;
output Iout126 ;
output Iout144 ;
wire Idecoder_final_refresh_d0_d1 ;
output Iout101 ;
output Iout4 ;
output Iout68 ;
output Iout156 ;
output Iout338 ;
output Iout307 ;
output Iout100 ;
output Iout20 ;
output Iout215 ;
wire Iin_d4_d0 ;
wire Iin_d2_d0 ;
output Iout104 ;
output Iout171 ;
output Iout48 ;
output Iout34 ;
output Iout197 ;
output Iout342 ;
output Iout339 ;
output Iout268 ;
output Iout175 ;
output Iout35 ;
output Iout27 ;
output Iout192 ;
output Iout200 ;
output Iout244 ;
output Iout167 ;
wire Idecoder_final_refresh_d5_d1 ;
wire Idecoder_final_refresh_d1_d0 ;
output Iout310 ;
output Iout247 ;
output Iout97 ;
output Iout325 ;
output Iout265 ;
output Iout56 ;
output Iout223 ;
output Iout45 ;
wire Ien_ands_t6_y ;
wire Iin_d3_d0 ;
wire Idecoder_final_refresh_d4_d0 ;
output Iout276 ;
output Iout63 ;
output Iout49 ;
output Iout232 ;
output Iout254 ;
output Iout72 ;
output Iout85 ;
output Iout119 ;
output Iout164 ;
output Iout327 ;
output Iout239 ;
output Iout174 ;
output Iout246 ;
output Iout113 ;
output Iout336 ;
output Iout180 ;
output Iout207 ;
output Iout275 ;
wire Ien_ands_f7_y ;
wire Ien_ands_f4_y ;
output Iout24 ;
output Iout114 ;
output Iout118 ;
output Iout187 ;
output Iout51 ;
output Iout37 ;
output Iout176 ;
output Iout202 ;
output Iout98 ;
output Iout23 ;
output Iout188 ;
// --- instances
tmpl_0_0dataflow__neuro_0_0decoder__dualrail__refresh_39_7348_4 Idecoder (.Iin_d0_d0 (Ien_ands_f0_y ), .Iin_d0_d1 (Ien_ands_t0_y ), .Iin_d1_d0 (Ien_ands_f1_y ), .Iin_d1_d1 (Ien_ands_t1_y ), .Iin_d2_d0 (Ien_ands_f2_y ), .Iin_d2_d1 (Ien_ands_t2_y ), .Iin_d3_d0 (Ien_ands_f3_y ), .Iin_d3_d1 (Ien_ands_t3_y ), .Iin_d4_d0 (Ien_ands_f4_y ), .Iin_d4_d1 (Ien_ands_t4_y ), .Iin_d5_d0 (Ien_ands_f5_y ), .Iin_d5_d1 (Ien_ands_t5_y ), .Iin_d6_d0 (Ien_ands_f6_y ), .Iin_d6_d1 (Ien_ands_t6_y ), .Iin_d7_d0 (Ien_ands_f7_y ), .Iin_d7_d1 (Ien_ands_t7_y ), .Iin_d8_d0 (Ien_ands_f8_y ), .Iin_d8_d1 (Ien_ands_t8_y ), .Iout0 (Iout0 ), .Iout1 (Iout1 ), .Iout2 (Iout2 ), .Iout3 (Iout3 ), .Iout4 (Iout4 ), .Iout5 (Iout5 ), .Iout6 (Iout6 ), .Iout7 (Iout7 ), .Iout8 (Iout8 ), .Iout9 (Iout9 ), .Iout10 (Iout10 ), .Iout11 (Iout11 ), .Iout12 (Iout12 ), .Iout13 (Iout13 ), .Iout14 (Iout14 ), .Iout15 (Iout15 ), .Iout16 (Iout16 ), .Iout17 (Iout17 ), .Iout18 (Iout18 ), .Iout19 (Iout19 ), .Iout20 (Iout20 ), .Iout21 (Iout21 ), .Iout22 (Iout22 ), .Iout23 (Iout23 ), .Iout24 (Iout24 ), .Iout25 (Iout25 ), .Iout26 (Iout26 ), .Iout27 (Iout27 ), .Iout28 (Iout28 ), .Iout29 (Iout29 ), .Iout30 (Iout30 ), .Iout31 (Iout31 ), .Iout32 (Iout32 ), .Iout33 (Iout33 ), .Iout34 (Iout34 ), .Iout35 (Iout35 ), .Iout36 (Iout36 ), .Iout37 (Iout37 ), .Iout38 (Iout38 ), .Iout39 (Iout39 ), .Iout40 (Iout40 ), .Iout41 (Iout41 ), .Iout42 (Iout42 ), .Iout43 (Iout43 ), .Iout44 (Iout44 ), .Iout45 (Iout45 ), .Iout46 (Iout46 ), .Iout47 (Iout47 ), .Iout48 (Iout48 ), .Iout49 (Iout49 ), .Iout50 (Iout50 ), .Iout51 (Iout51 ), .Iout52 (Iout52 ), .Iout53 (Iout53 ), .Iout54 (Iout54 ), .Iout55 (Iout55 ), .Iout56 (Iout56 ), .Iout57 (Iout57 ), .Iout58 (Iout58 ), .Iout59 (Iout59 ), .Iout60 (Iout60 ), .Iout61 (Iout61 ), .Iout62 (Iout62 ), .Iout63 (Iout63 ), .Iout64 (Iout64 ), .Iout65 (Iout65 ), .Iout66 (Iout66 ), .Iout67 (Iout67 ), .Iout68 (Iout68 ), .Iout69 (Iout69 ), .Iout70 (Iout70 ), .Iout71 (Iout71 ), .Iout72 (Iout72 ), .Iout73 (Iout73 ), .Iout74 (Iout74 ), .Iout75 (Iout75 ), .Iout76 (Iout76 ), .Iout77 (Iout77 ), .Iout78 (Iout78 ), .Iout79 (Iout79 ), .Iout80 (Iout80 ), .Iout81 (Iout81 ), .Iout82 (Iout82 ), .Iout83 (Iout83 ), .Iout84 (Iout84 ), .Iout85 (Iout85 ), .Iout86 (Iout86 ), .Iout87 (Iout87 ), .Iout88 (Iout88 ), .Iout89 (Iout89 ), .Iout90 (Iout90 ), .Iout91 (Iout91 ), .Iout92 (Iout92 ), .Iout93 (Iout93 ), .Iout94 (Iout94 ), .Iout95 (Iout95 ), .Iout96 (Iout96 ), .Iout97 (Iout97 ), .Iout98 (Iout98 ), .Iout99 (Iout99 ), .Iout100 (Iout100 ), .Iout101 (Iout101 ), .Iout102 (Iout102 ), .Iout103 (Iout103 ), .Iout104 (Iout104 ), .Iout105 (Iout105 ), .Iout106 (Iout106 ), .Iout107 (Iout107 ), .Iout108 (Iout108 ), .Iout109 (Iout109 ), .Iout110 (Iout110 ), .Iout111 (Iout111 ), .Iout112 (Iout112 ), .Iout113 (Iout113 ), .Iout114 (Iout114 ), .Iout115 (Iout115 ), .Iout116 (Iout116 ), .Iout117 (Iout117 ), .Iout118 (Iout118 ), .Iout119 (Iout119 ), .Iout120 (Iout120 ), .Iout121 (Iout121 ), .Iout122 (Iout122 ), .Iout123 (Iout123 ), .Iout124 (Iout124 ), .Iout125 (Iout125 ), .Iout126 (Iout126 ), .Iout127 (Iout127 ), .Iout128 (Iout128 ), .Iout129 (Iout129 ), .Iout130 (Iout130 ), .Iout131 (Iout131 ), .Iout132 (Iout132 ), .Iout133 (Iout133 ), .Iout134 (Iout134 ), .Iout135 (Iout135 ), .Iout136 (Iout136 ), .Iout137 (Iout137 ), .Iout138 (Iout138 ), .Iout139 (Iout139 ), .Iout140 (Iout140 ), .Iout141 (Iout141 ), .Iout142 (Iout142 ), .Iout143 (Iout143 ), .Iout144 (Iout144 ), .Iout145 (Iout145 ), .Iout146 (Iout146 ), .Iout147 (Iout147 ), .Iout148 (Iout148 ), .Iout149 (Iout149 ), .Iout150 (Iout150 ), .Iout151 (Iout151 ), .Iout152 (Iout152 ), .Iout153 (Iout153 ), .Iout154 (Iout154 ), .Iout155 (Iout155 ), .Iout156 (Iout156 ), .Iout157 (Iout157 ), .Iout158 (Iout158 ), .Iout159 (Iout159 ), .Iout160 (Iout160 ), .Iout161 (Iout161 ), .Iout162 (Iout162 ), .Iout163 (Iout163 ), .Iout164 (Iout164 ), .Iout165 (Iout165 ), .Iout166 (Iout166 ), .Iout167 (Iout167 ), .Iout168 (Iout168 ), .Iout169 (Iout169 ), .Iout170 (Iout170 ), .Iout171 (Iout171 ), .Iout172 (Iout172 ), .Iout173 (Iout173 ), .Iout174 (Iout174 ), .Iout175 (Iout175 ), .Iout176 (Iout176 ), .
tmpl_0_0dataflow__neuro_0_0sigbuf_318_4 Isb_en (.in(en), .Iout0 (Isb_en_out0 ), .vdd(vdd), .vss(vss));
AND2_X1 Ien_ands_t0 (.y(Ien_ands_t0_y ), .a(Iin_d0_d1 ), .b(Isb_en_out0 ), .vdd(vdd), .vss(vss));
AND2_X1 Ien_ands_t1 (.y(Ien_ands_t1_y ), .a(Iin_d1_d1 ), .b(Isb_en_out0 ), .vdd(vdd), .vss(vss));
AND2_X1 Ien_ands_t2 (.y(Ien_ands_t2_y ), .a(Iin_d2_d1 ), .b(Isb_en_out0 ), .vdd(vdd), .vss(vss));
AND2_X1 Ien_ands_t3 (.y(Ien_ands_t3_y ), .a(Iin_d3_d1 ), .b(Isb_en_out0 ), .vdd(vdd), .vss(vss));
AND2_X1 Ien_ands_t4 (.y(Ien_ands_t4_y ), .a(Iin_d4_d1 ), .b(Isb_en_out0 ), .vdd(vdd), .vss(vss));
AND2_X1 Ien_ands_t5 (.y(Ien_ands_t5_y ), .a(Iin_d5_d1 ), .b(Isb_en_out0 ), .vdd(vdd), .vss(vss));
AND2_X1 Ien_ands_t6 (.y(Ien_ands_t6_y ), .a(Iin_d6_d1 ), .b(Isb_en_out0 ), .vdd(vdd), .vss(vss));
AND2_X1 Ien_ands_t7 (.y(Ien_ands_t7_y ), .a(Iin_d7_d1 ), .b(Isb_en_out0 ), .vdd(vdd), .vss(vss));
AND2_X1 Ien_ands_t8 (.y(Ien_ands_t8_y ), .a(Iin_d8_d1 ), .b(Isb_en_out0 ), .vdd(vdd), .vss(vss));
AND2_X1 Ien_ands_f0 (.y(Ien_ands_f0_y ), .a(Iin_d0_d0 ), .b(Isb_en_out0 ), .vdd(vdd), .vss(vss));
AND2_X1 Ien_ands_f1 (.y(Ien_ands_f1_y ), .a(Iin_d1_d0 ), .b(Isb_en_out0 ), .vdd(vdd), .vss(vss));
AND2_X1 Ien_ands_f2 (.y(Ien_ands_f2_y ), .a(Iin_d2_d0 ), .b(Isb_en_out0 ), .vdd(vdd), .vss(vss));
AND2_X1 Ien_ands_f3 (.y(Ien_ands_f3_y ), .a(Iin_d3_d0 ), .b(Isb_en_out0 ), .vdd(vdd), .vss(vss));
AND2_X1 Ien_ands_f4 (.y(Ien_ands_f4_y ), .a(Iin_d4_d0 ), .b(Isb_en_out0 ), .vdd(vdd), .vss(vss));
AND2_X1 Ien_ands_f5 (.y(Ien_ands_f5_y ), .a(Iin_d5_d0 ), .b(Isb_en_out0 ), .vdd(vdd), .vss(vss));
AND2_X1 Ien_ands_f6 (.y(Ien_ands_f6_y ), .a(Iin_d6_d0 ), .b(Isb_en_out0 ), .vdd(vdd), .vss(vss));
AND2_X1 Ien_ands_f7 (.y(Ien_ands_f7_y ), .a(Iin_d7_d0 ), .b(Isb_en_out0 ), .vdd(vdd), .vss(vss));
AND2_X1 Ien_ands_f8 (.y(Ien_ands_f8_y ), .a(Iin_d8_d0 ), .b(Isb_en_out0 ), .vdd(vdd), .vss(vss));
endmodule