actlib_dataflow_neuro/test/unit_tests/texel_dualcore_glue/split_modules/tmpl_0_0dataflow__neuro_0_0.../netlist/verilog.v

33 lines
982 B
Verilog

module tmpl_0_0dataflow__neuro_0_0sigbuf__boolarray_35_740_4(Iin0 , Iin1 , Iin2 , Iin3 , Iin4 , Iout0 , Iout1 , Iout2 , Iout3 , Iout4 , vdd, vss);
input vdd;
input vss;
input Iin0 ;
input Iin1 ;
input Iin2 ;
input Iin3 ;
input Iin4 ;
// -- signals ---
output Iout1 ;
wire Iin4 ;
wire Iin0 ;
output Iout3 ;
wire Iin2 ;
output Iout4 ;
output Iout2 ;
output Iout0 ;
wire Iin1 ;
wire Iin3 ;
// --- instances
tmpl_0_0dataflow__neuro_0_0sigbuf_340_4 Isb0 (.in(Iin0 ), .Iout0 (Iout0 ), .vdd(vdd), .vss(vss));
tmpl_0_0dataflow__neuro_0_0sigbuf_340_4 Isb1 (.in(Iin1 ), .Iout0 (Iout1 ), .vdd(vdd), .vss(vss));
tmpl_0_0dataflow__neuro_0_0sigbuf_340_4 Isb2 (.in(Iin2 ), .Iout0 (Iout2 ), .vdd(vdd), .vss(vss));
tmpl_0_0dataflow__neuro_0_0sigbuf_340_4 Isb3 (.in(Iin3 ), .Iout0 (Iout3 ), .vdd(vdd), .vss(vss));
tmpl_0_0dataflow__neuro_0_0sigbuf_340_4 Isb4 (.in(Iin4 ), .Iout0 (Iout4 ), .vdd(vdd), .vss(vss));
endmodule