actlib_dataflow_neuro/test/unit_tests/texel_dualcore_glue/split_modules/tmpl_0_0dataflow__neuro_0_0.../netlist/verilog.v

263 lines
8.6 KiB
Verilog

module tmpl_0_0dataflow__neuro_0_0ortree_364_4(Iin0 , Iin1 , Iin2 , Iin3 , Iin4 , Iin5 , Iin6 , Iin7 , Iin8 , Iin9 , Iin10 , Iin11 , Iin12 , Iin13 , Iin14 , Iin15 , Iin16 , Iin17 , Iin18 , Iin19 , Iin20 , Iin21 , Iin22 , Iin23 , Iin24 , Iin25 , Iin26 , Iin27 , Iin28 , Iin29 , Iin30 , Iin31 , Iin32 , Iin33 , Iin34 , Iin35 , Iin36 , Iin37 , Iin38 , Iin39 , Iin40 , Iin41 , Iin42 , Iin43 , Iin44 , Iin45 , Iin46 , Iin47 , Iin48 , Iin49 , Iin50 , Iin51 , Iin52 , Iin53 , Iin54 , Iin55 , Iin56 , Iin57 , Iin58 , Iin59 , Iin60 , Iin61 , Iin62 , Iin63 , out, vdd, vss);
input vdd;
input vss;
input Iin0 ;
input Iin1 ;
input Iin2 ;
input Iin3 ;
input Iin4 ;
input Iin5 ;
input Iin6 ;
input Iin7 ;
input Iin8 ;
input Iin9 ;
input Iin10 ;
input Iin11 ;
input Iin12 ;
input Iin13 ;
input Iin14 ;
input Iin15 ;
input Iin16 ;
input Iin17 ;
input Iin18 ;
input Iin19 ;
input Iin20 ;
input Iin21 ;
input Iin22 ;
input Iin23 ;
input Iin24 ;
input Iin25 ;
input Iin26 ;
input Iin27 ;
input Iin28 ;
input Iin29 ;
input Iin30 ;
input Iin31 ;
input Iin32 ;
input Iin33 ;
input Iin34 ;
input Iin35 ;
input Iin36 ;
input Iin37 ;
input Iin38 ;
input Iin39 ;
input Iin40 ;
input Iin41 ;
input Iin42 ;
input Iin43 ;
input Iin44 ;
input Iin45 ;
input Iin46 ;
input Iin47 ;
input Iin48 ;
input Iin49 ;
input Iin50 ;
input Iin51 ;
input Iin52 ;
input Iin53 ;
input Iin54 ;
input Iin55 ;
input Iin56 ;
input Iin57 ;
input Iin58 ;
input Iin59 ;
input Iin60 ;
input Iin61 ;
input Iin62 ;
input Iin63 ;
output out;
// -- signals ---
wire Iin51 ;
wire Itmp116 ;
wire Itmp102 ;
wire Iin15 ;
wire Itmp79 ;
wire Itmp87 ;
wire Iin33 ;
wire Itmp76 ;
wire Itmp73 ;
wire Iin14 ;
wire Iin39 ;
wire Iin28 ;
wire Iin17 ;
wire Itmp114 ;
wire Itmp111 ;
wire Itmp84 ;
wire out ;
wire Itmp122 ;
wire Itmp92 ;
wire Iin5 ;
wire Itmp108 ;
wire Itmp99 ;
wire Iin53 ;
wire Itmp70 ;
wire Iin10 ;
wire Itmp115 ;
wire Itmp104 ;
wire Iin54 ;
wire Itmp85 ;
wire Itmp113 ;
wire Iin57 ;
wire Iin12 ;
wire Iin0 ;
wire Itmp101 ;
wire Iin61 ;
wire Iin40 ;
wire Iin13 ;
wire Iin9 ;
wire Iin34 ;
wire Iin23 ;
wire Iin50 ;
wire Itmp88 ;
wire Itmp82 ;
wire Iin63 ;
wire Itmp93 ;
wire Iin7 ;
wire Iin4 ;
wire Itmp97 ;
wire Iin43 ;
wire Iin38 ;
wire Iin18 ;
wire Iin1 ;
wire Iin47 ;
wire Iin6 ;
wire Itmp112 ;
wire Iin45 ;
wire Iin42 ;
wire Itmp81 ;
wire Iin2 ;
wire Itmp119 ;
wire Iin59 ;
wire Iin44 ;
wire Iin32 ;
wire Iin25 ;
wire Itmp106 ;
wire Iin58 ;
wire Iin48 ;
wire Iin30 ;
wire Iin62 ;
wire Iin60 ;
wire Itmp80 ;
wire Itmp74 ;
wire Iin19 ;
wire Itmp125 ;
wire Itmp120 ;
wire Iin52 ;
wire Itmp90 ;
wire Itmp89 ;
wire Iin20 ;
wire Iin11 ;
wire Itmp78 ;
wire Itmp75 ;
wire Itmp71 ;
wire Iin49 ;
wire Iin21 ;
wire Itmp72 ;
wire Itmp66 ;
wire Iin55 ;
wire Itmp83 ;
wire Iin36 ;
wire Iin31 ;
wire Iin16 ;
wire Itmp103 ;
wire Itmp96 ;
wire Iin56 ;
wire Iin29 ;
wire Itmp98 ;
wire Itmp95 ;
wire Iin41 ;
wire Itmp67 ;
wire Itmp100 ;
wire Itmp69 ;
wire Iin8 ;
wire Itmp109 ;
wire Itmp105 ;
wire Iin37 ;
wire Itmp77 ;
wire Iin24 ;
wire Itmp123 ;
wire Itmp118 ;
wire Itmp117 ;
wire Itmp91 ;
wire Itmp86 ;
wire Iin3 ;
wire Iin46 ;
wire Iin22 ;
wire Itmp68 ;
wire Itmp94 ;
wire Iin26 ;
wire Itmp124 ;
wire Itmp121 ;
wire Itmp110 ;
wire Itmp107 ;
wire Iin35 ;
wire Iin27 ;
wire Itmp65 ;
wire Itmp64 ;
// --- instances
OR2_X1 Ior2s0 (.y(Itmp64 ), .a(Iin0 ), .b(Iin1 ), .vdd(vdd), .vss(vss));
OR2_X1 Ior2s1 (.y(Itmp65 ), .a(Iin2 ), .b(Iin3 ), .vdd(vdd), .vss(vss));
OR2_X1 Ior2s2 (.y(Itmp66 ), .a(Iin4 ), .b(Iin5 ), .vdd(vdd), .vss(vss));
OR2_X1 Ior2s3 (.y(Itmp67 ), .a(Iin6 ), .b(Iin7 ), .vdd(vdd), .vss(vss));
OR2_X1 Ior2s4 (.y(Itmp68 ), .a(Iin8 ), .b(Iin9 ), .vdd(vdd), .vss(vss));
OR2_X1 Ior2s5 (.y(Itmp69 ), .a(Iin10 ), .b(Iin11 ), .vdd(vdd), .vss(vss));
OR2_X1 Ior2s6 (.y(Itmp70 ), .a(Iin12 ), .b(Iin13 ), .vdd(vdd), .vss(vss));
OR2_X1 Ior2s7 (.y(Itmp71 ), .a(Iin14 ), .b(Iin15 ), .vdd(vdd), .vss(vss));
OR2_X1 Ior2s8 (.y(Itmp72 ), .a(Iin16 ), .b(Iin17 ), .vdd(vdd), .vss(vss));
OR2_X1 Ior2s9 (.y(Itmp73 ), .a(Iin18 ), .b(Iin19 ), .vdd(vdd), .vss(vss));
OR2_X1 Ior2s10 (.y(Itmp74 ), .a(Iin20 ), .b(Iin21 ), .vdd(vdd), .vss(vss));
OR2_X1 Ior2s11 (.y(Itmp75 ), .a(Iin22 ), .b(Iin23 ), .vdd(vdd), .vss(vss));
OR2_X1 Ior2s12 (.y(Itmp76 ), .a(Iin24 ), .b(Iin25 ), .vdd(vdd), .vss(vss));
OR2_X1 Ior2s13 (.y(Itmp77 ), .a(Iin26 ), .b(Iin27 ), .vdd(vdd), .vss(vss));
OR2_X1 Ior2s14 (.y(Itmp78 ), .a(Iin28 ), .b(Iin29 ), .vdd(vdd), .vss(vss));
OR2_X1 Ior2s15 (.y(Itmp79 ), .a(Iin30 ), .b(Iin31 ), .vdd(vdd), .vss(vss));
OR2_X1 Ior2s16 (.y(Itmp80 ), .a(Iin32 ), .b(Iin33 ), .vdd(vdd), .vss(vss));
OR2_X1 Ior2s17 (.y(Itmp81 ), .a(Iin34 ), .b(Iin35 ), .vdd(vdd), .vss(vss));
OR2_X1 Ior2s18 (.y(Itmp82 ), .a(Iin36 ), .b(Iin37 ), .vdd(vdd), .vss(vss));
OR2_X1 Ior2s19 (.y(Itmp83 ), .a(Iin38 ), .b(Iin39 ), .vdd(vdd), .vss(vss));
OR2_X1 Ior2s20 (.y(Itmp84 ), .a(Iin40 ), .b(Iin41 ), .vdd(vdd), .vss(vss));
OR2_X1 Ior2s21 (.y(Itmp85 ), .a(Iin42 ), .b(Iin43 ), .vdd(vdd), .vss(vss));
OR2_X1 Ior2s22 (.y(Itmp86 ), .a(Iin44 ), .b(Iin45 ), .vdd(vdd), .vss(vss));
OR2_X1 Ior2s23 (.y(Itmp87 ), .a(Iin46 ), .b(Iin47 ), .vdd(vdd), .vss(vss));
OR2_X1 Ior2s24 (.y(Itmp88 ), .a(Iin48 ), .b(Iin49 ), .vdd(vdd), .vss(vss));
OR2_X1 Ior2s25 (.y(Itmp89 ), .a(Iin50 ), .b(Iin51 ), .vdd(vdd), .vss(vss));
OR2_X1 Ior2s26 (.y(Itmp90 ), .a(Iin52 ), .b(Iin53 ), .vdd(vdd), .vss(vss));
OR2_X1 Ior2s27 (.y(Itmp91 ), .a(Iin54 ), .b(Iin55 ), .vdd(vdd), .vss(vss));
OR2_X1 Ior2s28 (.y(Itmp92 ), .a(Iin56 ), .b(Iin57 ), .vdd(vdd), .vss(vss));
OR2_X1 Ior2s29 (.y(Itmp93 ), .a(Iin58 ), .b(Iin59 ), .vdd(vdd), .vss(vss));
OR2_X1 Ior2s30 (.y(Itmp94 ), .a(Iin60 ), .b(Iin61 ), .vdd(vdd), .vss(vss));
OR2_X1 Ior2s31 (.y(Itmp95 ), .a(Iin62 ), .b(Iin63 ), .vdd(vdd), .vss(vss));
OR2_X1 Ior2s32 (.y(Itmp96 ), .a(Itmp64 ), .b(Itmp65 ), .vdd(vdd), .vss(vss));
OR2_X1 Ior2s33 (.y(Itmp97 ), .a(Itmp66 ), .b(Itmp67 ), .vdd(vdd), .vss(vss));
OR2_X1 Ior2s34 (.y(Itmp98 ), .a(Itmp68 ), .b(Itmp69 ), .vdd(vdd), .vss(vss));
OR2_X1 Ior2s35 (.y(Itmp99 ), .a(Itmp70 ), .b(Itmp71 ), .vdd(vdd), .vss(vss));
OR2_X1 Ior2s36 (.y(Itmp100 ), .a(Itmp72 ), .b(Itmp73 ), .vdd(vdd), .vss(vss));
OR2_X1 Ior2s37 (.y(Itmp101 ), .a(Itmp74 ), .b(Itmp75 ), .vdd(vdd), .vss(vss));
OR2_X1 Ior2s38 (.y(Itmp102 ), .a(Itmp76 ), .b(Itmp77 ), .vdd(vdd), .vss(vss));
OR2_X1 Ior2s39 (.y(Itmp103 ), .a(Itmp78 ), .b(Itmp79 ), .vdd(vdd), .vss(vss));
OR2_X1 Ior2s40 (.y(Itmp104 ), .a(Itmp80 ), .b(Itmp81 ), .vdd(vdd), .vss(vss));
OR2_X1 Ior2s41 (.y(Itmp105 ), .a(Itmp82 ), .b(Itmp83 ), .vdd(vdd), .vss(vss));
OR2_X1 Ior2s42 (.y(Itmp106 ), .a(Itmp84 ), .b(Itmp85 ), .vdd(vdd), .vss(vss));
OR2_X1 Ior2s43 (.y(Itmp107 ), .a(Itmp86 ), .b(Itmp87 ), .vdd(vdd), .vss(vss));
OR2_X1 Ior2s44 (.y(Itmp108 ), .a(Itmp88 ), .b(Itmp89 ), .vdd(vdd), .vss(vss));
OR2_X1 Ior2s45 (.y(Itmp109 ), .a(Itmp90 ), .b(Itmp91 ), .vdd(vdd), .vss(vss));
OR2_X1 Ior2s46 (.y(Itmp110 ), .a(Itmp92 ), .b(Itmp93 ), .vdd(vdd), .vss(vss));
OR2_X1 Ior2s47 (.y(Itmp111 ), .a(Itmp94 ), .b(Itmp95 ), .vdd(vdd), .vss(vss));
OR2_X1 Ior2s48 (.y(Itmp112 ), .a(Itmp96 ), .b(Itmp97 ), .vdd(vdd), .vss(vss));
OR2_X1 Ior2s49 (.y(Itmp113 ), .a(Itmp98 ), .b(Itmp99 ), .vdd(vdd), .vss(vss));
OR2_X1 Ior2s50 (.y(Itmp114 ), .a(Itmp100 ), .b(Itmp101 ), .vdd(vdd), .vss(vss));
OR2_X1 Ior2s51 (.y(Itmp115 ), .a(Itmp102 ), .b(Itmp103 ), .vdd(vdd), .vss(vss));
OR2_X1 Ior2s52 (.y(Itmp116 ), .a(Itmp104 ), .b(Itmp105 ), .vdd(vdd), .vss(vss));
OR2_X1 Ior2s53 (.y(Itmp117 ), .a(Itmp106 ), .b(Itmp107 ), .vdd(vdd), .vss(vss));
OR2_X1 Ior2s54 (.y(Itmp118 ), .a(Itmp108 ), .b(Itmp109 ), .vdd(vdd), .vss(vss));
OR2_X1 Ior2s55 (.y(Itmp119 ), .a(Itmp110 ), .b(Itmp111 ), .vdd(vdd), .vss(vss));
OR2_X1 Ior2s56 (.y(Itmp120 ), .a(Itmp112 ), .b(Itmp113 ), .vdd(vdd), .vss(vss));
OR2_X1 Ior2s57 (.y(Itmp121 ), .a(Itmp114 ), .b(Itmp115 ), .vdd(vdd), .vss(vss));
OR2_X1 Ior2s58 (.y(Itmp122 ), .a(Itmp116 ), .b(Itmp117 ), .vdd(vdd), .vss(vss));
OR2_X1 Ior2s59 (.y(Itmp123 ), .a(Itmp118 ), .b(Itmp119 ), .vdd(vdd), .vss(vss));
OR2_X1 Ior2s60 (.y(Itmp124 ), .a(Itmp120 ), .b(Itmp121 ), .vdd(vdd), .vss(vss));
OR2_X1 Ior2s61 (.y(Itmp125 ), .a(Itmp122 ), .b(Itmp123 ), .vdd(vdd), .vss(vss));
OR2_X1 Ior2s62 (.y(out), .a(Itmp124 ), .b(Itmp125 ), .vdd(vdd), .vss(vss));
endmodule