actlib_dataflow_neuro/test/unit_tests/texel_dualcore_glue/split_modules/tmpl_0_0dataflow__neuro_0_0.../netlist/verilog.v

18 lines
468 B
Verilog

module tmpl_0_0dataflow__neuro_0_0nrn__line__end__pull__down(in, reset_B, out, vdd, vss);
input vdd;
input vss;
input in;
input reset_B;
output out;
// -- signals ---
wire reset_B;
wire in;
wire out ;
wire Iinv_y ;
// --- instances
A_1N_U_X4 Ipull_down (.n1(in), .y(out), .vdd(vdd), .vss(vss));
A_1N_U_X4 Ipull_downR (.n1(Iinv_y ), .y(out), .vdd(vdd), .vss(vss));
INV_X1 Iinv (.y(Iinv_y ), .a(reset_B), .vdd(vdd), .vss(vss));
endmodule