'DPI-mem' schmatics and test bench

This commit is contained in:
W. Soares Girao 2021-07-20 19:45:45 +02:00
commit a436926cd4
19 changed files with 927 additions and 0 deletions

4
CognigrOne_LR3/.oalib Normal file
View File

@ -0,0 +1,4 @@
<?xml version="1.0"?>
<Library DMSystem="oaDMFileSys">
</Library>

Binary file not shown.

Binary file not shown.

View File

@ -0,0 +1,2 @@
-- Master.tag File, Rev:1.0
sch.oa

Binary file not shown.

Binary file not shown.

After

Width:  |  Height:  |  Size: 1.2 KiB

View File

@ -0,0 +1,2 @@
-- Master.tag File, Rev:1.0
symbol.oa

Binary file not shown.

Binary file not shown.

After

Width:  |  Height:  |  Size: 540 B

View File

@ -0,0 +1,784 @@
<?xml version="1.0"?>
<statedb ICVersion="IC6.1.8-64b.500.15" modifiedTime="07/20/2021 19:43:20" version="5">active
<Test Name="CognigrOne_LR3_DPI_Ca_tb_1">
<component Name="adeInfo" Type="skillTable">adeinfo
<field Name="descriptiontext" Type="string">"None"</field>
<field Name="designInfo" Type="list">("CognigrOne_LR3" "DPI_Ca_tb" "schematic" "spectre")</field>
<field Name="projectDir" Type="string">"/home/p302242/simulation/CognigrOne_LR3/DPI_Ca_tb/maestro/results/maestro/.tmpADEDir_p302242/CognigrOne_LR3_DPI_Ca_tb_1/simulation"</field>
</component>
<component Name="rfstim" Type="skillTable">rfstim</component>
<component Name="nil" Type="skillTable">asiEnvSaveTable</component>
<component Name="convergence" Type="skillTable">sigList
<partition Name="Signallist">
<field Name="forceNodes" Type="list">nil</field>
<field Name="nodeSets" Type="list">nil</field>
<field Name="initConds" Type="list">((selectionObject netProbe probe: NULL voltage "1.8" fullName "/I0/net3" type net))</field>
</partition>
</component>
<component Name="cosimOptions" Type="skillTable">asiEnvSaveTable
<partition Name="cosim">
<field Name="serverr" Type="string">"localhost"</field>
<field Name="inputs" Type="list">nil</field>
<field Name="port" Type="fixnum">38520</field>
<field Name="enable" Type="list">nil</field>
<field Name="design" Type="string">""</field>
<field Name="matlabStartCmd" Type="string">"matlab"</field>
<field Name="outputs" Type="list">nil</field>
<field Name="matlabStartDir" Type="string">""</field>
<field Name="whenStartMatlab" Type="string">"no"</field>
<field Name="server" Type="string">""</field>
<field Name="timeout" Type="fixnum">60</field>
</partition>
</component>
<component Name="devCheckingSetup" Type="skillTable">stateDpl
<field Name="stateDpl" Type="skillDpl">
<field Name="devCheckingEnabled" Type="string">"no"</field>
<field Name="asserts" Type="list">nil</field>
<field Name="inclusiveFilters" Type="list">nil</field>
<field Name="exclusiveFilters" Type="list">nil</field>
<field Name="options" Type="skillDpl">
<field Name="checklimit" Type="skillDpl">
<field Name="pzFilterExtreme" Type="list">nil</field>
<field Name="pzFilterNone" Type="list">nil</field>
<field Name="pzAddtionalArguments" Type="list">nil</field>
<field Name="pzDisableAll" Type="list">nil</field>
<field Name="pzEnableAll" Type="list">nil</field>
<field Name="pzSeverity" Type="string">"None"</field>
<field Name="noiseFilterExtreme" Type="list">nil</field>
<field Name="noiseFilterNone" Type="list">nil</field>
<field Name="noiseAddtionalArguments" Type="list">nil</field>
<field Name="noiseDisableAll" Type="list">nil</field>
<field Name="noiseEnableAll" Type="list">nil</field>
<field Name="noiseSeverity" Type="string">"None"</field>
<field Name="spFilterExtreme" Type="list">nil</field>
<field Name="spFilterNone" Type="list">nil</field>
<field Name="spAddtionalArguments" Type="list">nil</field>
<field Name="spDisableAll" Type="list">nil</field>
<field Name="spEnableAll" Type="list">nil</field>
<field Name="spSeverity" Type="string">"None"</field>
<field Name="acFilterExtreme" Type="list">nil</field>
<field Name="acFilterNone" Type="list">nil</field>
<field Name="acAddtionalArguments" Type="list">nil</field>
<field Name="acDisableAll" Type="list">nil</field>
<field Name="acEnableAll" Type="list">nil</field>
<field Name="acSeverity" Type="string">"None"</field>
<field Name="dcOpFilterExtreme" Type="list">nil</field>
<field Name="dcOpFilterNone" Type="list">nil</field>
<field Name="dcOpAddtionalArguments" Type="list">nil</field>
<field Name="dcOpDisableAll" Type="list">nil</field>
<field Name="dcOpEnableAll" Type="list">nil</field>
<field Name="dcOpSeverity" Type="string">"None"</field>
<field Name="dcFilterExtreme" Type="list">nil</field>
<field Name="dcFilterNone" Type="list">nil</field>
<field Name="dcAddtionalArguments" Type="list">nil</field>
<field Name="dcDisableAll" Type="list">nil</field>
<field Name="dcEnableAll" Type="list">nil</field>
<field Name="dcSeverity" Type="string">"None"</field>
<field Name="tranFilterExtreme" Type="list">nil</field>
<field Name="tranFilterNone" Type="list">nil</field>
<field Name="tranAddtionalArguments" Type="list">nil</field>
<field Name="tranDisableAll" Type="list">nil</field>
<field Name="tranEnableAll" Type="list">nil</field>
<field Name="tranSeverity" Type="string">"None"</field>
<field Name="tranStopTime" Type="list">nil</field>
<field Name="tranStartTime" Type="list">nil</field>
</field>
</field>
</field>
</component>
<component Name="emirOptions" Type="skillTable">asiEnvSaveTable
<partition Name="emirOpts">
<field Name="netName" Type="string">""</field>
<field Name="includeFile" Type="string">""</field>
<field Name="irIrcxFile" Type="string">""</field>
<field Name="editSummaryInfoTable" Type="list">nil</field>
<field Name="spgsFilterMoscap" Type="string">"no"</field>
<field Name="runDirTSMCNoShe" Type="string">""</field>
<field Name="mode" Type="string">"No SHE"</field>
<field Name="advancedTableForSHEN5" Type="list">nil</field>
<field Name="runNameTSMCShe" Type="string">""</field>
<field Name="advancedTableForSHEN7" Type="list">nil</field>
<field Name="enableEMIRAna" Type="list">nil</field>
<field Name="sheEmReports" Type="list">nil</field>
<field Name="dspfLayermap" Type="string">""</field>
<field Name="advancedTableForSHEN16" Type="list">nil</field>
<field Name="anaType" Type="string">"Dynamic"</field>
<field Name="dfIILayermapTSMCNoShe" Type="string">""</field>
<field Name="ictFile" Type="string">""</field>
<field Name="runDirNoShe" Type="string">""</field>
<field Name="runNameTSMCNoShe" Type="string">""</field>
<field Name="hideAnalysesItems" Type="string">""</field>
<field Name="short" Type="string">""</field>
<field Name="layermapTSMCNoShe" Type="string">"Use DFII Layermap"</field>
<field Name="runNameNoShe" Type="string">""</field>
<field Name="dspfListBox" Type="list">nil</field>
<field Name="techFileMode" Type="string">"qrcTechFile with external EM Only ICT File"</field>
<field Name="layerName" Type="string">""</field>
<field Name="timeWindowListBox" Type="list">nil</field>
<field Name="advancedTableForSHE12LPP" Type="list">nil</field>
<field Name="qrcTechFile" Type="string">""</field>
<field Name="spgsAdvIRDrop" Type="list">nil</field>
<field Name="sheCellName" Type="string">""</field>
<field Name="runDirGF12LPPShe" Type="string">""</field>
<field Name="solverMethodTable" Type="list">nil</field>
<field Name="emIrcxFile" Type="string">""</field>
<field Name="sheLibName" Type="string">""</field>
<field Name="dfIILayermapNoShe" Type="string">""</field>
<field Name="solverMethod" Type="string">"Direct"</field>
<field Name="dfIILayermapGF12LPPShe" Type="string">""</field>
<field Name="sheViewName" Type="string">""</field>
<field Name="autoLoadVfiFile" Type="list">nil</field>
<field Name="dynamicAnaSummaryBox" Type="list">nil</field>
<field Name="advancedTableForNoSHE" Type="list">nil</field>
<field Name="staticCurrentFile" Type="string">""</field>
<field Name="sheSelfHeatingReports" Type="list">nil</field>
<field Name="staticAnaSummaryBox" Type="list">nil</field>
<field Name="summaryListBox" Type="list">nil</field>
<field Name="runNameGF12LPPShe" Type="string">""</field>
<field Name="layermapNoShe" Type="string">"Use DFII Layermap"</field>
<field Name="sheTileSizeR" Type="string">""</field>
<field Name="advancedTable" Type="list">nil</field>
<field Name="sheTileSizeL" Type="string">""</field>
<field Name="emDataFile" Type="string">""</field>
<field Name="shortResLayer" Type="string">"yes"</field>
<field Name="shParamFile" Type="string">""</field>
<field Name="runDirTSMCShe" Type="string">""</field>
<field Name="shEMEffect" Type="string">"beolT"</field>
<field Name="layermapGF12LPPShe" Type="string">"Use DFII Layermap"</field>
<field Name="emOnlyIctFile" Type="string">""</field>
</partition>
</component>
<component Name="environmentOptions" Type="skillTable">asiEnvSaveTable
<partition Name="envOpts">
<field Name="other_opts" Type="string">""</field>
<field Name="poloNameMapDBDir" Type="string">""</field>
<field Name="pllWizardinfo" Type="string">""</field>
<field Name="emirLCVDisable" Type="list">nil</field>
<field Name="licQueueTimeOut" Type="string">"900"</field>
<field Name="analysisOrder" Type="list">("pz" "dcmatch" "stb" "tran" "envlp" "ac" "dc" "lf" "noise" "xf" "sp" "pss" "pac" "pstb" "pnoise" "pxf" "psp" "qpss" "qpac" "qpnoise" "qpxf" "qpsp" "hb" "hbac" "hbstb" "hbnoise" "hbxf" "sens" "acmatch")</field>
<field Name="enablePhaseNoiseBW" Type="symbol">t</field>
<field Name="setEngNotation" Type="list">nil</field>
<field Name="licQueueSleep" Type="string">""</field>
<field Name="macroModelEnable" Type="list">nil</field>
<field Name="emirSumList_SPGS" Type="list">nil</field>
<field Name="printComments" Type="list">(nil nil)</field>
<field Name="paramRangeCheckFile" Type="string">""</field>
<field Name="enableDiagnose" Type="list">nil</field>
<field Name="autoDisplay" Type="symbol">t</field>
<field Name="restart" Type="string">""</field>
<field Name="macroModelList" Type="list">nil</field>
<field Name="emirEnable_static" Type="list">nil</field>
<field Name="enableNewMultiHarmCut" Type="list">nil</field>
<field Name="setTopLevelAsSubckt" Type="list">nil</field>
<field Name="definitionFiles" Type="list">nil</field>
<field Name="firstRun" Type="symbol">t</field>
<field Name="bus_delimiter" Type="string">""</field>
<field Name="rptOptionToggle" Type="string">""</field>
<field Name="vcoType" Type="string">""</field>
<field Name="enableArclength" Type="list">nil</field>
<field Name="cpfIsVMSEnabled" Type="symbol">t</field>
<field Name="stopViewList" Type="list">("spectre" "veriloga" "ahdl")</field>
<field Name="lastEmAnaType" Type="string">""</field>
<field Name="port_order" Type="string">"sch"</field>
<field Name="emirSumList" Type="list">nil</field>
<field Name="emirSumList_MacroModel" Type="list">nil</field>
<field Name="emLayerMap" Type="string">""</field>
<field Name="extra_port" Type="string">"false"</field>
<field Name="termMismatch" Type="string">"default"</field>
<field Name="dspfFile" Type="list">nil</field>
<field Name="preserveSubcktTermNamesByOrder" Type="list">nil</field>
<field Name="case_sensitive" Type="string">"false"</field>
<field Name="emirSumList_Dynamic" Type="list">nil</field>
<field Name="pllPlugin" Type="string">""</field>
<field Name="switchViewList" Type="list">("spectre" "veriloga" "ahdl" "cmos_sch" "schematic")</field>
<field Name="emirEnable" Type="list">nil</field>
<field Name="emirDSPF" Type="list">nil</field>
<field Name="mapFirstSubnodeForNet" Type="list">nil</field>
<field Name="emTechFile" Type="string">""</field>
<field Name="cmd64bit" Type="list">nil</field>
<field Name="enableNoiseRefactor" Type="symbol">t</field>
<field Name="sst2usecolon" Type="list">nil</field>
<field Name="stimulusFile" Type="list">nil</field>
<field Name="termDirectionMismatch" Type="string">"default"</field>
<field Name="emirSumList_Static" Type="list">nil</field>
<field Name="liclog" Type="list">nil</field>
<field Name="emirEnable_spgs" Type="list">nil</field>
<field Name="displayToolTip" Type="symbol">t</field>
<field Name="userCmdLineOption" Type="string">""</field>
<field Name="lsusp" Type="list">nil</field>
<field Name="savestate" Type="string">""</field>
<field Name="emOnlyICTFile" Type="string">""</field>
<field Name="emAnaType" Type="string">"Dynamic"</field>
</partition>
</component>
<component Name="faultRules" Type="skillTable">faultRules
<field Name="faultRules" Type="list">nil</field>
</component>
<component Name="faults" Type="skillTable">faults
<field Name="faults" Type="list">nil</field>
</component>
<component Name="graphicalStimuli" Type="skillTable">graphicalStimTable
<field Name="GLOBALS" Type="list">(nil)</field>
<field Name="INPUTS" Type="list">(nil)</field>
<field Name="HISTORY_NAMED_STIMULI" Type="list">(nil)</field>
</component>
<component Name="mdlOptions" Type="skillTable">asiEnvSaveTable
<partition Name="mdlOpts">
<field Name="viewName" Type="string">""</field>
<field Name="mdlFile" Type="string">""</field>
<field Name="precFormat" Type="string">"%.15g"</field>
<field Name="libName" Type="string">""</field>
<field Name="mdlFrom" Type="string">"From File"</field>
<field Name="engFormat" Type="fixnum">6</field>
<field Name="formatType" Type="string">"Default"</field>
<field Name="enable" Type="list">nil</field>
<field Name="additionals" Type="string">""</field>
<field Name="cellName" Type="string">""</field>
</partition>
</component>
<component Name="modelSetup" Type="skillTable">modelSetup
<field Name="modelFiles" Type="list">(("/opt/cadence/designkits/xfab/xp018/cadence/v6_1/spectre/v6_1_6/lp5mos/config.scs" "default") ("/opt/cadence/designkits/xfab/xp018/cadence/v6_1/spectre/v6_1_6/lp5mos/param.scs" "3s") ("/opt/cadence/designkits/xfab/xp018/cadence/v6_1/spectre/v6_1_6/lp5mos/bip.scs" "tm") ("/opt/cadence/designkits/xfab/xp018/cadence/v6_1/spectre/v6_1_6/lp5mos/cap.scs" "tm") ("/opt/cadence/designkits/xfab/xp018/cadence/v6_1/spectre/v6_1_6/lp5mos/dio.scs" "tm") ("/opt/cadence/designkits/xfab/xp018/cadence/v6_1/spectre/v6_1_6/lp5mos/mos.scs" "tm") ("/opt/cadence/designkits/xfab/xp018/cadence/v6_1/spectre/v6_1_6/lp5mos/res.scs" "tm") ("# /opt/cadence/designkits/xfab/xp018/cadence/v6_1/spectre/v6_1_6/lp5mos/xp018.scs" "mc_g"))</field>
</component>
<component Name="mtsSetup" Type="skillTable">mtsSetup
<field Name="mtsOptions" Type="list">nil</field>
<field Name="mtsMode" Type="list">nil</field>
</component>
<component Name="opPoints" Type="skillTable">opPoints
<field Name="opPoints" Type="list">nil</field>
</component>
<component Name="otherInfo" Type="skillTable">otherInfo</component>
<component Name="outputs" Type="skillTable">outputs
<partition Name="outputsCommon">
<field Name="outputList" Type="list">nil</field>
<partition Name="envSaveOptions">
<field Name="keepRegPcellLabel" Type="string">""</field>
<field Name="keepRegisteredPcell" Type="symbol">t</field>
<field Name="clearPcell" Type="string">""</field>
<field Name="savePcellSelect" Type="string">""</field>
<field Name="savePcell" Type="string">""</field>
<field Name="subcktoppoint" Type="list">nil</field>
<field Name="pcellFrame" Type="string">""</field>
<field Name="saveBySubcktInstances" Type="list">(("&lt;Click_here_to_add_an_Instance&gt;" "" "" "" "" "" "" "" "all" "" ""))</field>
<field Name="saveBySubcktFrame" Type="string">""</field>
<field Name="allcapInfo" Type="list">nil</field>
<field Name="extremesInfo" Type="list">nil</field>
<field Name="assertsInfo" Type="list">nil</field>
<field Name="designParamValInfo" Type="symbol">t</field>
<field Name="subcktsInfo" Type="symbol">t</field>
<field Name="primitivesInfo" Type="symbol">t</field>
<field Name="outputParamInfo" Type="symbol">t</field>
<field Name="elementInfo" Type="symbol">t</field>
<field Name="saveFrame" Type="string">""</field>
<field Name="save" Type="string">"allpub"</field>
<field Name="pwr" Type="string">""</field>
<field Name="nestlvl" Type="string">""</field>
<field Name="currents" Type="string">""</field>
<field Name="useprobes" Type="string">""</field>
<field Name="subcktprobelvl" Type="string">""</field>
<field Name="saveahdlvars" Type="string">""</field>
<field Name="timewindFrame" Type="string">""</field>
<field Name="enableTimeWindowSetup" Type="list">nil</field>
<field Name="timeWindLabel" Type="string">""</field>
<field Name="timeWindow" Type="string">""</field>
<field Name="signalNames" Type="string">""</field>
<field Name="time_window_a" Type="string">""</field>
<field Name="sumInfo_a" Type="string">""</field>
<field Name="infoFrame" Type="string">""</field>
<field Name="infoOptions" Type="list">(("modelParameter" "models" "rawfile" "" "" "" t) ("element" "inst" "rawfile" "" "" "" t) ("outputParameter" "output" "rawfile" "" "" "" t) ("designParamVals" "parameters" "rawfile" "" "" "" t) ("primitives" "primitives" "rawfile" "" "" "" t) ("subckts" "subckts" "rawfile" "" "" "" t) ("asserts" "assert" "rawfile" "" "" "" nil) ("extremeinfo" "all" "logfile" "" "yes" "" nil) ("allcap" "allcap" "file" "" "" "" nil) ("&lt;Click_To_Add&gt;" "none" "rawfile" "" "" "" nil))</field>
<field Name="captab_detail" Type="string">"node"</field>
<field Name="captab_sort" Type="string">"name"</field>
<field Name="captab_threshold" Type="string">""</field>
<field Name="outputFrame" Type="string">""</field>
<field Name="simOutputFormat" Type="string">"psfxl"</field>
<field Name="fastViewOption" Type="list">nil</field>
<field Name="modelParamInfo" Type="symbol">t</field>
</partition>
</partition>
<partition Name="outputsScripts">
<field Name="outputList" Type="list">nil</field>
</partition>
<partition Name="outputsAreaGoal">
<field Name="areaGoal" Type="list">nil</field>
</partition>
<partition Name="outputsOpRegion">
<field Name="opRegion" Type="list">nil</field>
</partition>
</component>
<component Name="paramSetup" Type="skillTable">expr</component>
<component Name="relxOptions" Type="skillTable">asiEnvSaveTable
<partition Name="relxOpts">
<field Name="tmiPHCI" Type="string">""</field>
<field Name="presetAgeLevel" Type="string">""</field>
<field Name="mosDevDegVdlin" Type="string">""</field>
<field Name="scaleMode" Type="string">"Original"</field>
<field Name="outputSubcktDegIvthSubckts" Type="string">""</field>
<field Name="mosDevDegVgsweep_start_nModels" Type="string">""</field>
<field Name="outputSubcktDegIvthp" Type="string">""</field>
<field Name="otherTMIRXOptions" Type="string">""</field>
<field Name="btiRecovery" Type="list">nil</field>
<field Name="tmiNHCI" Type="string">""</field>
<field Name="gaSkipLast" Type="list">nil</field>
<field Name="mosDevDegVglinModels" Type="string">""</field>
<field Name="enableDegSort" Type="list">nil</field>
<field Name="outputSubcktDegIvth" Type="string">""</field>
<field Name="tmiHCI" Type="string">""</field>
<field Name="uriAppendtype" Type="string">"none"</field>
<field Name="degCheckException" Type="string">""</field>
<field Name="gaAlterParam" Type="string">""</field>
<field Name="mosDevDegVglin" Type="string">""</field>
<field Name="enabledegdata" Type="list">nil</field>
<field Name="temp" Type="string">""</field>
<field Name="enableSelfheating" Type="list">nil</field>
<field Name="mosDeltad" Type="string">"0.1"</field>
<field Name="otherRXOptions" Type="string">""</field>
<field Name="mosDevDegVgsweep_start_n" Type="string">""</field>
<field Name="gaDynamicTable" Type="list">nil</field>
<field Name="mosAgingTime" Type="string">"10"</field>
<field Name="enableAging" Type="list">nil</field>
<field Name="enableAgingForTMI" Type="symbol">t</field>
<field Name="outputSubcktDegSubNames" Type="string">""</field>
<field Name="agingPointsType" Type="string">"Standard aging"</field>
<field Name="tmiAgingType" Type="string">"TMI Aging"</field>
<field Name="mosCompactAgeModel" Type="list">nil</field>
<field Name="tmiPBTI" Type="string">""</field>
<field Name="mosMode" Type="list">(t t t)</field>
<field Name="mosDevDegVsconstModels" Type="string">""</field>
<field Name="electroParamType" Type="string">"TMI Default"</field>
<field Name="outputSubcktDegIvthn" Type="string">""</field>
<field Name="tmiNBTI" Type="string">""</field>
<field Name="mosDevDegVsconst" Type="string">""</field>
<field Name="enableRelxpert" Type="list">nil</field>
<field Name="mosDevDegVgstepModels" Type="string">""</field>
<field Name="tmiBTI" Type="string">""</field>
<field Name="mosOutputSubcktDegInFilePath" Type="string">""</field>
<field Name="mosModifyNetlist" Type="list">nil</field>
<field Name="degSortThreshold" Type="string">""</field>
<field Name="removeTMIAgeSettingInFresh" Type="list">nil</field>
<field Name="mosAgeModelLimitType" Type="string">"age"</field>
<field Name="saAgeStepOrTotalStep" Type="string">""</field>
<field Name="standardAgeType" Type="string">"Age points"</field>
<field Name="anaModeClone" Type="string">"Reliability"</field>
<field Name="mosAgeModelFilePath" Type="string">""</field>
<field Name="gaAgeStepTotalStep" Type="string">""</field>
<field Name="displayTMIGui" Type="list">nil</field>
<field Name="mcMode" Type="string">"singlestress"</field>
<field Name="mosMaskDev" Type="list">nil</field>
<field Name="mosAgeMethod" Type="string">"agemos"</field>
<field Name="mosDevDegVdconst" Type="string">""</field>
<field Name="mosVthMethod" Type="string">"calculate"</field>
<field Name="gaSaveSome" Type="string">""</field>
<field Name="mosDevDegVbconst_n" Type="string">""</field>
<field Name="enableRelXTran" Type="list">nil</field>
<field Name="mosAgeDomain" Type="string">"loglog"</field>
<field Name="mosMaskSubckt" Type="string">""</field>
<field Name="enableStress" Type="list">nil</field>
<field Name="relxpertExecName" Type="string">"relxpert"</field>
<field Name="relxTranStop" Type="string">""</field>
<field Name="mosAppendDeviceAge" Type="list">nil</field>
<field Name="outputSubcktDegSubNodes" Type="string">""</field>
<field Name="mosMinAge" Type="string">""</field>
<field Name="cycleTime" Type="string">""</field>
<field Name="mosDevDegIdconstwl" Type="string">""</field>
<field Name="degSortElectroParam" Type="string">"TMI Default"</field>
<field Name="gaSaveResults" Type="string">"all"</field>
<field Name="ageLevel" Type="string">"All"</field>
<field Name="tmiMosMode" Type="list">(t t)</field>
<field Name="gaAgePoints" Type="string">"10"</field>
<field Name="mosDevDegVdconstwl" Type="string">""</field>
<field Name="mosAgeModelingEffect" Type="string">"Include"</field>
<field Name="checknegagingtype" Type="string">"error"</field>
<field Name="showOutputModelName" Type="list">nil</field>
<field Name="enableOutputDevDegInFile" Type="string">"GUI"</field>
<field Name="degSortType" Type="string">"threshold"</field>
<field Name="reportModelParamChanged" Type="list">nil</field>
<field Name="mosMaskDevInclude" Type="string">"include"</field>
<field Name="enableGradualAging" Type="list">nil</field>
<field Name="degSortAgeLevel" Type="string">"TMI Default"</field>
<field Name="gaEarlyExit" Type="symbol">t</field>
<field Name="mosAgeModelLimitNum" Type="string">"10"</field>
<field Name="agingVariation" Type="list">nil</field>
<field Name="gaMultiPlayBack" Type="list">nil</field>
<field Name="gaAgeStepType" Type="string">"lin"</field>
<field Name="enableEMIROnStress" Type="list">nil</field>
<field Name="degSortMaxDevices" Type="string">""</field>
<field Name="relxTranStart" Type="string">""</field>
<field Name="mosDevDegIdconst" Type="string">""</field>
<field Name="addAdditionalArgumentForTMI" Type="list">nil</field>
<field Name="checknegagingclamp" Type="string">"no"</field>
<field Name="mosDevDegVgsat" Type="string">""</field>
<field Name="outputSubcktDegVddSubckts" Type="string">""</field>
<field Name="mosModelsInSch" Type="string">""</field>
<field Name="gaAlterTime" Type="string">""</field>
<field Name="mosEnableOutputDevDeg" Type="list">nil</field>
<field Name="gaIterationNum" Type="string">""</field>
<field Name="compactagednetlist" Type="string">"none"</field>
<field Name="mosDevDegVddSetting" Type="list">nil</field>
<field Name="enableOutputSubcktDegInFile" Type="string">"GUI"</field>
<field Name="outputSubcktDegVdd" Type="string">""</field>
<field Name="mosDevDegVthUseIs" Type="list">nil</field>
<field Name="uriDebugMode" Type="string">"0"</field>
<field Name="mosDevDegVgsweep_end_p" Type="string">""</field>
<field Name="mosDevDegVgstep" Type="string">""</field>
<field Name="ageModelType" Type="string">"AgeMOS(Spectre Internal)"</field>
<field Name="limitDegradation" Type="string">"Real"</field>
<field Name="mosDevDegVgsweep_end_pModels" Type="string">""</field>
<field Name="uriMode" Type="string">"agemos"</field>
<field Name="saStepType" Type="string">"Total steps"</field>
<field Name="reportSubcktDegradation" Type="list">nil</field>
<field Name="fresh_data_dir" Type="string">""</field>
<field Name="mosAgeModelLimit" Type="list">nil</field>
<field Name="degSortValue" Type="string">""</field>
<field Name="mosHciAccuracy" Type="string">"liberal (1)"</field>
<field Name="mosMaskDevInstance" Type="string">""</field>
<field Name="mosHciLifeTimeMethod" Type="string">"calculate"</field>
<field Name="stress_data_dir" Type="string">""</field>
<field Name="gaSkipFresh" Type="list">nil</field>
<field Name="presetValue" Type="string">""</field>
<field Name="presetBlock" Type="string">""</field>
<field Name="mosHciOpMethod" Type="string">"calculate"</field>
<field Name="outputSubcktDegVgsat" Type="string">""</field>
<field Name="gaAlterParamListBox" Type="list">nil</field>
<field Name="gaAgeStepUnit" Type="string">"Years"</field>
<field Name="stress_var_list" Type="list">nil</field>
<field Name="showunageddevices" Type="string">"limit"</field>
<field Name="electroParamTypeAgeMos" Type="string">"Delta Idsat"</field>
<field Name="aged_data_dir" Type="string">""</field>
<field Name="mosDevDegVgsweep_start_pModels" Type="string">""</field>
<field Name="mosSubcktInSch" Type="string">""</field>
<field Name="nativeMode" Type="string">"Spectre native"</field>
<field Name="mosDevDegModels" Type="string">""</field>
<field Name="mosEffectiveModel" Type="symbol">t</field>
<field Name="simModeFile" Type="string">"input.bs0"</field>
<field Name="mosDevDegVthConstCurrSp" Type="list">nil</field>
<field Name="mindtemp" Type="string">""</field>
<field Name="uriLibs" Type="string">""</field>
<field Name="mosDevDegVgsatModels" Type="string">""</field>
<field Name="relusercmdlineoption" Type="string">""</field>
<field Name="gaInputStressDir" Type="string">""</field>
<field Name="mosDevDegVthUseId" Type="list">nil</field>
<field Name="mosDevDegVdd" Type="string">""</field>
<field Name="mosDevDegVgsweep_start_p" Type="string">""</field>
<field Name="enablePreset" Type="list">nil</field>
<field Name="outputSubcktDegVglin" Type="string">""</field>
<field Name="mosDevDegNeedSimulator" Type="list">nil</field>
<field Name="enableDegRatio" Type="list">nil</field>
<field Name="mosDevDegVgsweep_end_nModels" Type="string">""</field>
<field Name="mosDeltadVtlin" Type="string">"0.1"</field>
<field Name="mosHciIdMethod" Type="string">"ids"</field>
<field Name="doADELPostProcess" Type="symbol">t</field>
<field Name="mosDeltadIdsat" Type="string">"0.1"</field>
<field Name="enableAgeMosTMI" Type="list">nil</field>
<field Name="aged_var_list" Type="list">nil</field>
<field Name="combinedeg" Type="string">"off"</field>
<field Name="enableTMI" Type="list">nil</field>
<field Name="gaMode" Type="string">"Age points"</field>
<field Name="outputSubcktDegVgsatSubckts" Type="string">""</field>
<field Name="mosDevDegVdlinModels" Type="string">""</field>
<field Name="displayRelxpertGui" Type="list">nil</field>
<field Name="gaAlterHeadListBox" Type="list">nil</field>
<field Name="enableAgeMOS" Type="symbol">t</field>
<field Name="presetType" Type="string">"lifetime"</field>
<field Name="mosDevDegVgsweep_end_n" Type="string">""</field>
<field Name="mosOutputBiasVoltage" Type="list">nil</field>
<field Name="gaAgePointsUnit" Type="string">"Years"</field>
<field Name="mosHciIgateMethod" Type="string">"calculate"</field>
<field Name="showDegHideAgeLevel" Type="string">""</field>
<field Name="includeFiles" Type="string">""</field>
<field Name="simModeType" Type="string">"all"</field>
<field Name="gaAlterValue" Type="string">""</field>
<field Name="mosDevDegVdsatModels" Type="string">""</field>
<field Name="gaAgeStepStop" Type="string">""</field>
<field Name="anaMode" Type="string">"Reliability"</field>
<field Name="ageOMIModelType" Type="string">"AgeMOS(Spectre Internal)"</field>
<field Name="degdatatype" Type="string">"appendage"</field>
<field Name="ageMultiMode" Type="string">"AgeMOS(Spectre Internal)"</field>
<field Name="outputSubcktDegVdlinSubckts" Type="string">""</field>
<field Name="gaDynamicParam" Type="list">nil</field>
<field Name="displayOMIGui" Type="list">nil</field>
<field Name="mosOutputDevDegInFilePath" Type="string">""</field>
<field Name="mosDevDegVbconst_pModels" Type="string">""</field>
<field Name="mosMaskModels" Type="string">""</field>
<field Name="mosDumpAgeModel" Type="list">nil</field>
<field Name="outputSubcktDegVdlin" Type="string">""</field>
<field Name="mosDevDegVbconst_p" Type="string">""</field>
<field Name="mosDevDegVdsat" Type="string">""</field>
<field Name="degRatioDevInstance" Type="string">""</field>
<field Name="outputSubcktDegVglinSubckts" Type="string">""</field>
<field Name="mosAgingTimeUnit" Type="string">"Years"</field>
<field Name="mosHciLifeTimeMethodTable" Type="string">""</field>
<field Name="mosDevDegVbconst_nModels" Type="string">""</field>
<field Name="mosDevDegSimulatorPath" Type="string">""</field>
<field Name="gaAgeStepStart" Type="string">""</field>
<field Name="mosDeltadIdlin" Type="string">"0.1"</field>
<field Name="gaPassParam" Type="string">"no"</field>
<field Name="degRatioDevInclude" Type="string">"include"</field>
<field Name="mosCalcLifetime" Type="list">nil</field>
<field Name="outputmethod" Type="string">"single"</field>
</partition>
</component>
<component Name="simulationFiles" Type="skillTable">_simulationFiles
<field Name="pspiceFile" Type="list">nil</field>
<field Name="stimulusFile" Type="list">nil</field>
<field Name="allSpefFiles" Type="list">nil</field>
<field Name="dspfFile" Type="list">nil</field>
<field Name="definitionFiles" Type="list">nil</field>
<field Name="spefFile" Type="list">nil</field>
<field Name="VcdInfoFile" Type="string">""</field>
<field Name="allStimulusFiles" Type="list">nil</field>
<field Name="hlcheck" Type="string">"off"</field>
<field Name="EVcdInfoFile" Type="string">""</field>
<field Name="allDefinitionFiles" Type="list">nil</field>
<field Name="allIncludedPaths" Type="list">nil</field>
<field Name="allDspfFiles" Type="list">nil</field>
<field Name="includePath" Type="string">""</field>
<field Name="allVectorFiles" Type="list">nil</field>
<field Name="VectorFile" Type="list">nil</field>
<field Name="allEVcdFiles" Type="list">nil</field>
<field Name="allPspiceFiles" Type="list">nil</field>
<field Name="EVcdFile" Type="string">""</field>
<field Name="allVcdFiles" Type="list">nil</field>
<field Name="VcdFile" Type="string">""</field>
</component>
<component Name="simulatorOptions" Type="skillTable">asiEnvSaveTable
<partition Name="opts">
<field Name="error" Type="string">""</field>
<field Name="checklimitskipsubs" Type="string">""</field>
<field Name="ivthn" Type="string">""</field>
<field Name="redefinedparams" Type="string">"warning"</field>
<field Name="noiseOffType" Type="list">(nil nil nil nil nil)</field>
<field Name="checklimitdest" Type="string">"psf"</field>
<field Name="noiseOnType" Type="list">(nil nil nil nil nil)</field>
<field Name="icpriority" Type="string">""</field>
<field Name="spFilterNone" Type="list">nil</field>
<field Name="ivthl" Type="string">""</field>
<field Name="warn" Type="string">""</field>
<field Name="title" Type="string">""</field>
<field Name="ivth_vdsmin" Type="string">""</field>
<field Name="spAddtionalArguments" Type="string">""</field>
<field Name="tmevthmod" Type="string">""</field>
<field Name="noiseDisableAll" Type="list">nil</field>
<field Name="acFilterExtreme" Type="list">nil</field>
<field Name="iccapcheck" Type="string">""</field>
<field Name="pivabs" Type="string">""</field>
<field Name="highvoltage" Type="string">""</field>
<field Name="acFilterNone" Type="list">nil</field>
<field Name="noiseSeverity" Type="string">""</field>
<field Name="multithread" Type="string">""</field>
<field Name="vdsatmod" Type="string">""</field>
<field Name="noiseEnableAll" Type="list">nil</field>
<field Name="generalnoiseinstonoff" Type="string">""</field>
<field Name="pzSeverity" Type="string">""</field>
<field Name="useprobes" Type="string">""</field>
<field Name="pzEnableAll" Type="list">nil</field>
<field Name="debug" Type="string">""</field>
<field Name="gmin" Type="string">"0"</field>
<field Name="vabstol" Type="string">"1e-6"</field>
<field Name="pzDisableAll" Type="list">nil</field>
<field Name="info" Type="string">""</field>
<field Name="reltol" Type="string">"1e-3"</field>
<field Name="iccheck" Type="string">""</field>
<field Name="tranEnableAll" Type="list">nil</field>
<field Name="iabstol" Type="string">"1e-15"</field>
<field Name="flow" Type="string">""</field>
<field Name="tranSeverity" Type="string">""</field>
<field Name="limit" Type="string">"delta"</field>
<field Name="homotopy" Type="string">"all"</field>
<field Name="dcDisableAll" Type="list">nil</field>
<field Name="tranDisableAll" Type="list">nil</field>
<field Name="sensfileonly" Type="string">""</field>
<field Name="dptran_gmethod" Type="string">""</field>
<field Name="tnom" Type="string">"27"</field>
<field Name="temp" Type="string">"27"</field>
<field Name="residualtol" Type="string">""</field>
<field Name="scalem" Type="string">"1.0"</field>
<field Name="nport_default_interp" Type="string">""</field>
<field Name="gmethod" Type="string">""</field>
<field Name="generalnoiseinst" Type="list">nil</field>
<field Name="nport_default_passivity" Type="string">""</field>
<field Name="convdbg" Type="string">""</field>
<field Name="vthmod" Type="string">""</field>
<field Name="cols" Type="string">"80"</field>
<field Name="nportbbsfittedfiledir" Type="string">""</field>
<field Name="checklimitfile" Type="string">""</field>
<field Name="try_fast_op" Type="string">""</field>
<field Name="dochecklimit" Type="string">""</field>
<field Name="notation" Type="string">""</field>
<field Name="ivthw" Type="string">""</field>
<field Name="checklimitskipfile" Type="string">""</field>
<field Name="additionalArgs" Type="string">""</field>
<field Name="ivthp" Type="string">""</field>
<field Name="nonconv_topnum" Type="string">""</field>
<field Name="rabsclamp" Type="string">""</field>
<field Name="rthresh" Type="string">""</field>
<field Name="scalefactor" Type="string">""</field>
<field Name="gmindc" Type="string">""</field>
<field Name="simstat" Type="string">""</field>
<field Name="scale" Type="string">"1.0"</field>
<field Name="dc_pivot_check" Type="string">""</field>
<field Name="value1" Type="string">""</field>
<field Name="printstep" Type="string">""</field>
<field Name="rabsshort" Type="string">""</field>
<field Name="auto_minductor" Type="string">""</field>
<field Name="approx" Type="string">""</field>
<field Name="nportirfiledir" Type="string">""</field>
<field Name="tempeffects" Type="string">""</field>
<field Name="nportirreuse" Type="string">""</field>
<field Name="nportcompress" Type="string">""</field>
<field Name="nportunusedportrmin" Type="string">""</field>
<field Name="digits" Type="string">"5"</field>
<field Name="rebuild_matrix" Type="string">""</field>
<field Name="preorder" Type="string">""</field>
<field Name="nportunusedportgmin" Type="string">""</field>
<field Name="nportbbsversion" Type="string">""</field>
<field Name="colslog" Type="string">""</field>
<field Name="sensbinparam" Type="string">""</field>
<field Name="nportcompressfiledir" Type="string">""</field>
<field Name="diagnose" Type="string">""</field>
<field Name="dcEnableAll" Type="list">nil</field>
<field Name="tranFilterNone" Type="list">nil</field>
<field Name="ignshorts" Type="string">""</field>
<field Name="tranAddtionalArguments" Type="string">""</field>
<field Name="dcSeverity" Type="string">""</field>
<field Name="topcheck" Type="string">""</field>
<field Name="tranCheckWindows" Type="string">""</field>
<field Name="quantities" Type="string">""</field>
<field Name="spFilterExtreme" Type="list">nil</field>
<field Name="tranStartTime" Type="string">""</field>
<field Name="rforce" Type="string">"1"</field>
<field Name="dcOpDisableAll" Type="list">nil</field>
<field Name="senstype" Type="string">""</field>
<field Name="gmin_check" Type="string">""</field>
<field Name="tranStopTime" Type="string">""</field>
<field Name="sensformat" Type="string">""</field>
<field Name="maxrsd" Type="string">""</field>
<field Name="dcOpSeverity" Type="string">""</field>
<field Name="dcOpEnableAll" Type="list">nil</field>
<field Name="macromodels" Type="string">""</field>
<field Name="pzFilterNone" Type="list">nil</field>
<field Name="pzFilterExtreme" Type="list">nil</field>
<field Name="acSeverity" Type="string">""</field>
<field Name="pivrel" Type="string">"1e-3"</field>
<field Name="ahdllint_maxwarn" Type="string">""</field>
<field Name="acAddtionalArguments" Type="string">""</field>
<field Name="acEnableAll" Type="list">nil</field>
<field Name="spDisableAll" Type="list">nil</field>
<field Name="pivotdc" Type="string">""</field>
<field Name="dcOpFilterExtreme" Type="list">nil</field>
<field Name="spEnableAll" Type="list">nil</field>
<field Name="dcOpAddtionalArguments" Type="string">""</field>
<field Name="maxwarns" Type="string">"5"</field>
<field Name="ahdllint_on" Type="string">""</field>
<field Name="acDisableAll" Type="list">nil</field>
<field Name="dcOpFilterNone" Type="list">nil</field>
<field Name="maxnotes" Type="string">"5"</field>
<field Name="nthreads" Type="string">""</field>
<field Name="maxnotestologfile" Type="string">""</field>
<field Name="sensfile" Type="string">"../psf/sens.output"</field>
<field Name="dcFilterExtreme" Type="list">nil</field>
<field Name="noiseAddtionalArguments" Type="string">""</field>
<field Name="note" Type="string">""</field>
<field Name="narrate" Type="string">""</field>
<field Name="ahdllint" Type="string">""</field>
<field Name="noiseFilterNone" Type="list">nil</field>
<field Name="spSeverity" Type="string">""</field>
<field Name="dcFilterNone" Type="list">nil</field>
<field Name="inventory" Type="string">""</field>
<field Name="dcAddtionalArguments" Type="string">""</field>
<field Name="noiseFilterExtreme" Type="list">nil</field>
<field Name="audit" Type="string">""</field>
<field Name="maxwarnstologfile" Type="string">""</field>
<field Name="opptcheck" Type="string">""</field>
<field Name="pzAddtionalArguments" Type="string">""</field>
<field Name="minr" Type="string">""</field>
<field Name="tranFilterExtreme" Type="list">nil</field>
</partition>
</component>
<component Name="subckts" Type="skillTable">subckt
<field Name="subcktKeepList" Type="list">nil</field>
</component>
<component Name="turboOptions" Type="skillTable">asiEnvSaveTable
<partition Name="turboOpts">
<field Name="spectreXNumThreads" Type="string">""</field>
<field Name="digitalspeed" Type="string">"2"</field>
<field Name="optvdd" Type="flonum">3.3</field>
<field Name="spectreXHosts" Type="string">""</field>
<field Name="numThreads" Type="string">""</field>
<field Name="psrFmax" Type="string">""</field>
<field Name="spectreXMpOption" Type="string">"SSH"</field>
<field Name="psrFmaxRCR" Type="string">""</field>
<field Name="presetOverride" Type="list">nil</field>
<field Name="enable_proc_affinity" Type="list">nil</field>
<field Name="spectreXMtOption" Type="string">"Auto"</field>
<field Name="spectreXMTPOption" Type="string">"Multi-Threading"</field>
<field Name="psrSwitch" Type="list">nil</field>
<field Name="envSwitch" Type="list">nil</field>
<field Name="spectreXEnableLocalizedRC" Type="list">nil</field>
<field Name="digitalInstValue" Type="list">nil</field>
<field Name="spectreXSocketHost" Type="string">"1"</field>
<field Name="spectreXEnablePostLayout" Type="list">nil</field>
<field Name="psrOption" Type="string">"Default"</field>
<field Name="spectreXMpThreads" Type="string">""</field>
<field Name="uniModeSpectreX" Type="string">"APS"</field>
<field Name="digitalSubcktValue" Type="list">nil</field>
<field Name="psrXpsmsOption" Type="string">"Default"</field>
<field Name="preserveInst" Type="list">nil</field>
<field Name="spectreResistorShortingThreshold" Type="string">""</field>
<field Name="rcrNetFmax" Type="list">nil</field>
<field Name="spectreDecouplingCapacitorThreshold" Type="string">""</field>
<field Name="cktpreset" Type="string">"None"</field>
<field Name="uniMode" Type="string">"APS"</field>
<field Name="virtualPowerNodes" Type="list">nil</field>
<field Name="presetOverrideText" Type="string">""</field>
<field Name="preserveOption" Type="string">"None"</field>
<field Name="virtualGroundNodes" Type="list">nil</field>
<field Name="apsplus" Type="list">nil</field>
<field Name="analogInstValue" Type="list">nil</field>
<field Name="virtualPowerNodeListBox" Type="skillDpl">
<field Name="choices" Type="list">nil</field>
<field Name="value" Type="list">nil</field>
</field>
<field Name="spectreXAccuracyScale" Type="string">"MX"</field>
<field Name="analogSubcktValue" Type="list">nil</field>
<field Name="disableVddOverride" Type="symbol">t</field>
<field Name="spectreXPreset" Type="string">"MX"</field>
<field Name="spectreXAdvanced" Type="list">nil</field>
<field Name="errorLevel" Type="string">"Do not override"</field>
<field Name="Savefilter" Type="string">""</field>
<field Name="dcOPSolver" Type="string">"APS"</field>
<field Name="spectreXMaxPerformance" Type="list">nil</field>
<field Name="mtOption" Type="string">"Auto"</field>
<field Name="proc_affinity" Type="string">""</field>
<field Name="virtualPowerNodeValue" Type="list">nil</field>
</partition>
</component>
<component Name="variables" Type="skillTable">variableTable
<field Name="saveComponent" Type="skillList">
<field Name="saveComponent_0" Type="defstruct">sevVariableStruct
<field Name="name" Type="string">"pp_var"</field>
<field Name="expression" Type="string">""</field>
<field Name="index" Type="fixnum">1</field>
</field>
<field Name="saveComponent_1" Type="defstruct">sevVariableStruct
<field Name="name" Type="string">"pw_var"</field>
<field Name="expression" Type="string">""</field>
<field Name="index" Type="fixnum">2</field>
</field>
<field Name="saveComponent_2" Type="defstruct">sevVariableStruct
<field Name="name" Type="string">"Vbias_var"</field>
<field Name="expression" Type="string">""</field>
<field Name="index" Type="fixnum">3</field>
</field>
<field Name="saveComponent_3" Type="defstruct">sevVariableStruct
<field Name="name" Type="string">"Vtau_var"</field>
<field Name="expression" Type="string">""</field>
<field Name="index" Type="fixnum">4</field>
</field>
<field Name="saveComponent_4" Type="defstruct">sevVariableStruct
<field Name="name" Type="string">"Vthca_var"</field>
<field Name="expression" Type="string">""</field>
<field Name="index" Type="fixnum">5</field>
</field>
</field>
</component>
</Test>
</statedb>

Binary file not shown.

View File

@ -0,0 +1,91 @@
<?xml version="1.0"?>
<setupdb version="6">maestro
<active>Active Setup
<jobcontrolmode>LSCS</jobcontrolmode>
<corners>
<corner enabled="1">_default</corner>
</corners>
<overwritehistory>0</overwritehistory>
<tests>
<test>CognigrOne_LR3_DPI_Ca_tb_1
<tool>ADE</tool>
<tooloptions>
<option>cell
<value>DPI_Ca_tb</value>
</option>
<option>lib
<value>CognigrOne_LR3</value>
</option>
<option>path
<value>$AXL_SETUPDB_DIR</value>
</option>
<option>sim
<value>spectre</value>
</option>
<option>view
<value>schematic</value>
</option>
<option>state
<value>active</value>
</option>
</tooloptions>
<origoptions>
<option>cell
<value>DPI_Ca_tb</value>
</option>
<option>lib
<value>CognigrOne_LR3</value>
</option>
<option>path
<value>$AXL_SETUPDB_DIR/test_states</value>
</option>
<option>sim
<value>spectre</value>
</option>
<option>view
<value>schematic</value>
</option>
</origoptions>
<vars>
<var>pp_var
<value></value>
</var>
<var>pw_var
<value></value>
</var>
<var>Vbias_var
<value></value>
</var>
<var>Vtau_var
<value></value>
</var>
<var>Vthca_var
<value></value>
</var>
</vars>
</test>
</tests>
<extensions>
<extension>Parasitics
<callback>_parSetupDBExtensionCB</callback>
<iconvalue></iconvalue>
<icontype></icontype>
</extension>
</extensions>
<currentmode>Single Run, Sweeps and Corners</currentmode>
<checksasserts netlist="0">
<test netlist="0" netlistscope="all">CognigrOne_LR3_DPI_Ca_tb_1</test>
</checksasserts>
<plottingoptions>
<plottingoption>waveformtemplate
<value>(None)</value>
</plottingoption>
</plottingoptions>
<exploreroptions>
<exploreroption>waveformtemplate
<value>(None)</value>
</exploreroption>
</exploreroptions>
</active>
<history>History</history>
</setupdb>

View File

@ -0,0 +1,2 @@
-- Master.tag File, Rev:1.0
maestro.sdb

Binary file not shown.

View File

@ -0,0 +1,2 @@
-- Master.tag File, Rev:1.0
sch.oa

Binary file not shown.

Binary file not shown.

After

Width:  |  Height:  |  Size: 773 B

View File

@ -0,0 +1,40 @@
#
# This is a cdsinfo.tag file.
#
# See the "Cadence Application Infrastructure Reference Manual" for
# details on the format of this file, its semantics, and its use.
#
# The `#' character denotes a comment. Removing the leading `#'
# character from any of the entries below will activate them.
#
# CDSLIBRARY entry - add this entry if the directory containing
# this cdsinfo.tag file is the root of a Cadence library.
# CDSLIBRARY
#
# CDSLIBCHECK - set this entry to require that libraries have
# a cdsinfo.tag file with a CDSLIBRARY entry. Legal values are
# ON and OFF. By default (OFF), directories named in a cds.lib file
# do not have to have a cdsinfo.tag file with a CDSLIBRARY entry.
# CDSLIBCHECK ON
#
# DMTYPE - set this entry to define the DM system for Cadence's
# Generic DM facility. Values will be shifted to lower case.
# DMTYPE none
# DMTYPE crcs
# DMTYPE tdm
# DMTYPE sync
#
# NAMESPACE - set this entry to define the library namespace according
# to the type of machine on which the data is stored. Legal values are
# `LibraryNT' and
# `LibraryUnix'.
# NAMESPACE LibraryUnix
#
# Other entries may be added for use by specific applications as
# name-value pairs. Application documentation will describe the
# use and behaviour of these entries when appropriate.
#
# Current Settings:
#
CDSLIBRARY
DMTYPE none

BIN
CognigrOne_LR3/data.dm Normal file

Binary file not shown.