actlib_dataflow_neuro/test/unit_tests/texel_dualcore_glue/split_modules/tmpl_0_0dataflow__neuro_0_0.../netlist/verilog.v

13 lines
252 B
Coq
Raw Normal View History

2022-06-17 11:56:01 +02:00
module tmpl_0_0dataflow__neuro_0_0sigbuf_330_4(in, Iout0 , vdd, vss);
input vdd;
input vss;
input in;
// -- signals ---
2022-06-20 16:10:35 +02:00
output Iout0 ;
2022-06-21 14:00:04 +02:00
wire in;
2022-06-17 11:56:01 +02:00
// --- instances
BUF_X12 Ibuf12 (.y(Iout0 ), .a(in), .vdd(vdd), .vss(vss));
endmodule