actlib_dataflow_neuro/test/unit_tests/texel_dualcore_glue/split_modules/tmpl_0_0dataflow__neuro_0_0.../netlist/verilog.v

23 lines
568 B
Coq
Raw Normal View History

2022-06-17 11:56:01 +02:00
module tmpl_0_0dataflow__neuro_0_0ortree_34_4(Iin0 , Iin1 , Iin2 , Iin3 , out, vdd, vss);
input vdd;
input vss;
input Iin0 ;
input Iin1 ;
input Iin2 ;
input Iin3 ;
output out;
// -- signals ---
wire Itmp5 ;
2022-06-20 16:10:35 +02:00
wire Itmp4 ;
wire Iin0 ;
2022-06-17 11:56:01 +02:00
wire Iin3 ;
2022-06-20 16:10:35 +02:00
wire out ;
2022-06-17 11:56:01 +02:00
wire Iin2 ;
2022-06-20 16:10:35 +02:00
wire Iin1 ;
2022-06-17 11:56:01 +02:00
// --- instances
OR2_X1 Ior2s0 (.y(Itmp4 ), .a(Iin0 ), .b(Iin1 ), .vdd(vdd), .vss(vss));
OR2_X1 Ior2s1 (.y(Itmp5 ), .a(Iin2 ), .b(Iin3 ), .vdd(vdd), .vss(vss));
OR2_X1 Ior2s2 (.y(out), .a(Itmp4 ), .b(Itmp5 ), .vdd(vdd), .vss(vss));
endmodule