all commited

This commit is contained in:
W. Soares Girao 2021-08-30 17:29:46 +02:00
parent 7699fc7d59
commit de1f07d254
141 changed files with 20022 additions and 12 deletions

Binary file not shown.

Binary file not shown.

View File

@ -0,0 +1,2 @@
-- Master.tag File, Rev:1.0
sch.oa

Binary file not shown.

Binary file not shown.

After

Width:  |  Height:  |  Size: 1020 B

View File

@ -0,0 +1,2 @@
-- Master.tag File, Rev:1.0
symbol.oa

Binary file not shown.

Binary file not shown.

After

Width:  |  Height:  |  Size: 559 B

Binary file not shown.

Binary file not shown.

View File

@ -0,0 +1,2 @@
-- Master.tag File, Rev:1.0
sch.oa

Binary file not shown.

Binary file not shown.

After

Width:  |  Height:  |  Size: 804 B

View File

@ -0,0 +1,2 @@
-- Master.tag File, Rev:1.0
symbol.oa

Binary file not shown.

Binary file not shown.

After

Width:  |  Height:  |  Size: 561 B

File diff suppressed because it is too large Load Diff

View File

@ -0,0 +1,299 @@
<?xml version="1.0"?>
<setupdb version="6">maestro
<active>Active Setup
<jobcontrolmode>LSCS</jobcontrolmode>
<corners>
<corner enabled="1">_default</corner>
</corners>
<overwritehistory>0</overwritehistory>
<tests>
<test>CognigrOne_LR3_bistability_a_blk_LR3_tb_1
<tool>ADE</tool>
<tooloptions>
<option>cell
<value>bistability_a_blk_LR3_tb</value>
</option>
<option>lib
<value>CognigrOne_LR3</value>
</option>
<option>path
<value>$AXL_SETUPDB_DIR</value>
</option>
<option>sim
<value>spectre</value>
</option>
<option>view
<value>schematic</value>
</option>
<option>state
<value>active</value>
</option>
</tooloptions>
<origoptions>
<option>cell
<value>bistability_a_blk_LR3_tb</value>
</option>
<option>lib
<value>CognigrOne_LR3</value>
</option>
<option>path
<value>$AXL_SETUPDB_DIR/test_states</value>
</option>
<option>sim
<value>spectre</value>
</option>
<option>view
<value>schematic</value>
</option>
</origoptions>
<vars>
<var>Valp
<value>{From/To}Auto:1.6:5:1.65{From/To}</value>
</var>
<var>Vbet
<value>0.1</value>
</var>
<var>Vin
<value>0.01</value>
</var>
<var>Vth
<value>0.05</value>
</var>
</vars>
</test>
</tests>
<extensions>
<extension>Parasitics
<callback>_parSetupDBExtensionCB</callback>
<iconvalue></iconvalue>
<icontype></icontype>
</extension>
</extensions>
<currentmode>Single Run, Sweeps and Corners</currentmode>
<checksasserts netlist="0">
<test netlist="0" netlistscope="all">CognigrOne_LR3_bistability_a_blk_LR3_tb_1</test>
</checksasserts>
<plottingoptions>
<plottingoption>waveformtemplate
<value>(None)</value>
</plottingoption>
<plottingoption>plottingmode
<value>Replace</value>
</plottingoption>
<plottingoption>plottype
<value>None</value>
</plottingoption>
<plottingoption>usewaveformtemplate
<value>no</value>
</plottingoption>
<plottingoption>useMaestroPlottingTemplate
<value>yes</value>
</plottingoption>
<plottingoption>allplottingtemplates
<value></value>
</plottingoption>
<plottingoption>defaultplottingtemplate
<value></value>
</plottingoption>
</plottingoptions>
<exploreroptions>
<exploreroption>waveformtemplate
<value>(None)</value>
</exploreroption>
</exploreroptions>
<incrementalsimsetup>
<useincremental>0</useincremental>
<reusenetlist>0</reusenetlist>
<copyreferenceresults>1</copyreferenceresults>
</incrementalsimsetup>
<overwritehistoryname>ExplorerRun.0</overwritehistoryname>
</active>
<history>History
<historyentry assemblerOrExplorer="explorer" roOrView="view" runningOrFinished="finished">ExplorerRun.0
<checkpoint>
<jobcontrolmode>LSCS</jobcontrolmode>
<corners>
<corner enabled="1">_default</corner>
</corners>
<overwritehistory>0</overwritehistory>
<tests>
<test>CognigrOne_LR3_bistability_a_blk_LR3_tb_1
<tool>ADE</tool>
<tooloptions>
<option>cell
<value>bistability_a_blk_LR3_tb</value>
</option>
<option>lib
<value>CognigrOne_LR3</value>
</option>
<option>path
<value>$AXL_SETUPDB_DIR/test_states</value>
</option>
<option>sim
<value>spectre</value>
</option>
<option>view
<value>schematic</value>
</option>
<option>state
<value>ExplorerRun.0</value>
</option>
</tooloptions>
<origoptions>
<option>cell
<value>bistability_a_blk_LR3_tb</value>
</option>
<option>lib
<value>CognigrOne_LR3</value>
</option>
<option>path
<value>$AXL_SETUPDB_DIR/test_states</value>
</option>
<option>sim
<value>spectre</value>
</option>
<option>view
<value>schematic</value>
</option>
</origoptions>
<vars>
<var>Valp
<value>{From/To}Auto:1.6:5:1.65{From/To}</value>
</var>
<var>Vbet
<value>0.1</value>
</var>
<var>Vin
<value>0.01</value>
</var>
<var>Vth
<value>0.05</value>
</var>
</vars>
</test>
</tests>
<extensions>
<extension>Parasitics
<callback>_parSetupDBExtensionCB</callback>
<iconvalue></iconvalue>
<icontype></icontype>
</extension>
</extensions>
<currentmode>Single Run, Sweeps and Corners</currentmode>
<checksasserts netlist="0">
<test netlist="0" netlistscope="all">CognigrOne_LR3_bistability_a_blk_LR3_tb_1</test>
</checksasserts>
<plottingoptions>
<plottingoption>plottype
<value>Auto</value>
</plottingoption>
<plottingoption>autoplotmode
<value>Replace</value>
</plottingoption>
<plottingoption>useMaestroPlottingTemplate
<value>yes</value>
</plottingoption>
<plottingoption>waveformtemplate
<value>(None)</value>
</plottingoption>
<plottingoption>autoplotsignals
<value>yes</value>
</plottingoption>
<plottingoption>autoplotwaveexpr
<value>yes</value>
</plottingoption>
<plottingoption>autoplotscalarexpr
<value>yes</value>
</plottingoption>
<plottingoption>annotatedesignname
<value>yes</value>
</plottingoption>
<plottingoption>annotatesimdate
<value>yes</value>
</plottingoption>
<plottingoption>annotatedesignvars
<value>no</value>
</plottingoption>
<plottingoption>annotatetemperature
<value>no</value>
</plottingoption>
<plottingoption>annotatescalaroutputs
<value>no</value>
</plottingoption>
<plottingoption>annotatespecmarkers
<value>no</value>
</plottingoption>
<plottingoption>directplotmode
<value>Append</value>
</plottingoption>
<plottingoption>directplotafter
<value>All Selections Are Made</value>
</plottingoption>
<plottingoption>histogramtype
<value>pass/fail</value>
</plottingoption>
<plottingoption>histogrambins
<value>10</value>
</plottingoption>
<plottingoption>showhistogramdensity
<value>yes</value>
</plottingoption>
<plottingoption>showhistogramdeviation
<value>yes</value>
</plottingoption>
<plottingoption>showhistogrampercentmarkers
<value>no</value>
</plottingoption>
<plottingoption>histogramqqplot
<value>no</value>
</plottingoption>
<plottingoption>showhistogrampoints
<value>yes</value>
</plottingoption>
<plottingoption>printafter
<value>Each Selection</value>
</plottingoption>
<plottingoption>usewaveformtemplate
<value>no</value>
</plottingoption>
</plottingoptions>
<exploreroptions>
<exploreroption>waveformtemplate
<value>(None)</value>
</exploreroption>
</exploreroptions>
<incrementalsimsetup>
<useincremental>0</useincremental>
<reusenetlist>0</reusenetlist>
<copyreferenceresults>1</copyreferenceresults>
</incrementalsimsetup>
<overwritehistoryname>ExplorerRun.0</overwritehistoryname>
<runnabletests>
<test>CognigrOne_LR3_bistability_a_blk_LR3_tb_1</test>
</runnabletests>
<disabledtests></disabledtests>
<vars></vars>
<allsweepsenabled>1</allsweepsenabled>
<sortVariableValues>0</sortVariableValues>
</checkpoint>
<timestamp>Aug 27 11:12:15 2021</timestamp>
<uuid>{c046496c-7320-4d32-967c-2c2dde26f7d2}</uuid>
<resultsname>/home/p302242/libraries/CognigrOne_LR3/CognigrOne_LR3/bistability_a_blk_LR3_tb/maestro/results/maestro/ExplorerRun.0.rdb</resultsname>
<simresults>$AXL_SETUPDB_DIR/results/maestro/ExplorerRun.0.rdb</simresults>
<rawdatadelstrategy>SaveAll
<simdatasavemode>All</simdatasavemode>
</rawdatadelstrategy>
<netlistdelstrategy>SaveAll</netlistdelstrategy>
<uselocalpsfdir>false</uselocalpsfdir>
<localpsfdir>/tmp/bics01_p302242_111215128</localpsfdir>
<psfdir>/home/p302242/simulation/CognigrOne_LR3/bistability_a_blk_LR3_tb/maestro/results/maestro/ExplorerRun.0</psfdir>
<simdir>$AXL_PROJECT_DIR/CognigrOne_LR3/bistability_a_blk_LR3_tb/maestro/results/maestro/ExplorerRun.0</simdir>
<gendatasheetplotsonsimulation>0</gendatasheetplotsonsimulation>
<loggingdatabasedir>/home/p302242/libraries/CognigrOne_LR3/CognigrOne_LR3/bistability_a_blk_LR3_tb/maestro/results/maestro</loggingdatabasedir>
<runlog>/home/p302242/libraries/CognigrOne_LR3/CognigrOne_LR3/bistability_a_blk_LR3_tb/maestro/results/maestro/ExplorerRun.0.log</runlog>
<runlogfile>$AXL_SETUPDB_DIR/results/maestro/ExplorerRun.0.log</runlogfile>
<schematicpoint></schematicpoint>
<test>all</test>
</historyentry>
</history>
</setupdb>

View File

@ -0,0 +1,2 @@
-- Master.tag File, Rev:1.0
maestro.sdb

View File

@ -0,0 +1,13 @@
Starting Single Run, Sweeps and Corners...
Current time: Fri Aug 27 11:12:15 2021
Best design point: 1
Design specs:
CognigrOne_LR3_bistability_a_blk_LR3_tb_1 corner Nominal -
Design parameters:
ExplorerRun.0
Number of points completed: 5
Number of simulation errors: 0
ExplorerRun.0 completed.
Current time: Fri Aug 27 11:12:35 2021

File diff suppressed because it is too large Load Diff

View File

@ -0,0 +1,2 @@
-- Master.tag File, Rev:1.0
sch.oa

Binary file not shown.

After

Width:  |  Height:  |  Size: 712 B

BIN
CognigrOne_LR3/cDPI/data.dm Normal file

Binary file not shown.

Binary file not shown.

View File

@ -0,0 +1,2 @@
-- Master.tag File, Rev:1.0
sch.oa

Binary file not shown.

Binary file not shown.

After

Width:  |  Height:  |  Size: 980 B

View File

@ -0,0 +1,2 @@
-- Master.tag File, Rev:1.0
symbol.oa

Binary file not shown.

Binary file not shown.

After

Width:  |  Height:  |  Size: 565 B

Binary file not shown.

Binary file not shown.

View File

@ -0,0 +1,2 @@
-- Master.tag File, Rev:1.0
sch.oa

Binary file not shown.

Binary file not shown.

After

Width:  |  Height:  |  Size: 1.0 KiB

View File

@ -0,0 +1,2 @@
-- Master.tag File, Rev:1.0
symbol.oa

Binary file not shown.

Binary file not shown.

After

Width:  |  Height:  |  Size: 573 B

File diff suppressed because it is too large Load Diff

Binary file not shown.

View File

@ -0,0 +1,335 @@
<?xml version="1.0"?>
<setupdb version="6">maestro
<active>Active Setup
<jobcontrolmode>LSCS</jobcontrolmode>
<corners>
<corner enabled="1">_default</corner>
</corners>
<overwritehistory>0</overwritehistory>
<tests>
<test>CognigrOne_LR3_cDPI2_tb_1
<tool>ADE</tool>
<tooloptions>
<option>cell
<value>cDPI2_tb</value>
</option>
<option>lib
<value>CognigrOne_LR3</value>
</option>
<option>path
<value>$AXL_SETUPDB_DIR</value>
</option>
<option>sim
<value>spectre</value>
</option>
<option>view
<value>schematic</value>
</option>
<option>state
<value>active</value>
</option>
</tooloptions>
<vars>
<var>I_bias_n
<value>100p</value>
</var>
<var>I_bias_p
<value>100p</value>
</var>
<var>inn_del
<value>1</value>
</var>
<var>inn_per
<value>0.02</value>
</var>
<var>inn_pw
<value>1u</value>
</var>
<var>inp_del
<value>0.01</value>
</var>
<var>inp_per
<value>0.02</value>
</var>
<var>inp_pw
<value>1u</value>
</var>
<var>Vbulk_n
<value>0.3</value>
</var>
<var>Vth
<value>1</value>
</var>
</vars>
<origoptions>
<option>cell
<value>cDPI2_tb</value>
</option>
<option>lib
<value>CognigrOne_LR3</value>
</option>
<option>path
<value>$AXL_SETUPDB_DIR/test_states</value>
</option>
<option>sim
<value>spectre</value>
</option>
<option>view
<value>schematic</value>
</option>
</origoptions>
</test>
</tests>
<extensions>
<extension>Parasitics
<callback>_parSetupDBExtensionCB</callback>
<iconvalue></iconvalue>
<icontype></icontype>
</extension>
</extensions>
<currentmode>Single Run, Sweeps and Corners</currentmode>
<checksasserts netlist="0">
<test netlist="0" netlistscope="all">CognigrOne_LR3_cDPI2_tb_1</test>
</checksasserts>
<plottingoptions>
<plottingoption>waveformtemplate
<value>(None)</value>
</plottingoption>
<plottingoption>plottingmode
<value>Replace</value>
</plottingoption>
<plottingoption>plottype
<value>None</value>
</plottingoption>
<plottingoption>usewaveformtemplate
<value>no</value>
</plottingoption>
<plottingoption>useMaestroPlottingTemplate
<value>yes</value>
</plottingoption>
<plottingoption>allplottingtemplates
<value></value>
</plottingoption>
<plottingoption>defaultplottingtemplate
<value></value>
</plottingoption>
</plottingoptions>
<exploreroptions>
<exploreroption>waveformtemplate
<value>(None)</value>
</exploreroption>
</exploreroptions>
<incrementalsimsetup>
<useincremental>0</useincremental>
<reusenetlist>0</reusenetlist>
<copyreferenceresults>1</copyreferenceresults>
</incrementalsimsetup>
<overwritehistoryname>ExplorerRun.0</overwritehistoryname>
</active>
<history>History
<historyentry assemblerOrExplorer="explorer" roOrView="view" runningOrFinished="finished">ExplorerRun.0
<checkpoint>
<jobcontrolmode>LSCS</jobcontrolmode>
<corners>
<corner enabled="1">_default</corner>
</corners>
<overwritehistory>0</overwritehistory>
<tests>
<test>CognigrOne_LR3_cDPI2_tb_1
<tool>ADE</tool>
<tooloptions>
<option>cell
<value>cDPI2_tb</value>
</option>
<option>lib
<value>CognigrOne_LR3</value>
</option>
<option>path
<value>$AXL_SETUPDB_DIR/test_states</value>
</option>
<option>sim
<value>spectre</value>
</option>
<option>view
<value>schematic</value>
</option>
<option>state
<value>ExplorerRun.0</value>
</option>
</tooloptions>
<vars>
<var>I_bias_n
<value>100p</value>
</var>
<var>I_bias_p
<value>100p</value>
</var>
<var>inn_del
<value>1</value>
</var>
<var>inn_per
<value>0.02</value>
</var>
<var>inn_pw
<value>1u</value>
</var>
<var>inp_del
<value>0.01</value>
</var>
<var>inp_per
<value>0.02</value>
</var>
<var>inp_pw
<value>1u</value>
</var>
<var>Vbulk_n
<value>0.3</value>
</var>
<var>Vth
<value>1</value>
</var>
</vars>
<origoptions>
<option>cell
<value>cDPI2_tb</value>
</option>
<option>lib
<value>CognigrOne_LR3</value>
</option>
<option>path
<value>$AXL_SETUPDB_DIR/test_states</value>
</option>
<option>sim
<value>spectre</value>
</option>
<option>view
<value>schematic</value>
</option>
</origoptions>
</test>
</tests>
<extensions>
<extension>Parasitics
<callback>_parSetupDBExtensionCB</callback>
<iconvalue></iconvalue>
<icontype></icontype>
</extension>
</extensions>
<currentmode>Single Run, Sweeps and Corners</currentmode>
<checksasserts netlist="0">
<test netlist="0" netlistscope="all">CognigrOne_LR3_cDPI2_tb_1</test>
</checksasserts>
<plottingoptions>
<plottingoption>plottype
<value>Auto</value>
</plottingoption>
<plottingoption>autoplotmode
<value>Replace</value>
</plottingoption>
<plottingoption>useMaestroPlottingTemplate
<value>yes</value>
</plottingoption>
<plottingoption>waveformtemplate
<value>(None)</value>
</plottingoption>
<plottingoption>autoplotsignals
<value>yes</value>
</plottingoption>
<plottingoption>autoplotwaveexpr
<value>yes</value>
</plottingoption>
<plottingoption>autoplotscalarexpr
<value>yes</value>
</plottingoption>
<plottingoption>annotatedesignname
<value>yes</value>
</plottingoption>
<plottingoption>annotatesimdate
<value>yes</value>
</plottingoption>
<plottingoption>annotatedesignvars
<value>no</value>
</plottingoption>
<plottingoption>annotatetemperature
<value>no</value>
</plottingoption>
<plottingoption>annotatescalaroutputs
<value>no</value>
</plottingoption>
<plottingoption>annotatespecmarkers
<value>no</value>
</plottingoption>
<plottingoption>directplotmode
<value>Append</value>
</plottingoption>
<plottingoption>directplotafter
<value>All Selections Are Made</value>
</plottingoption>
<plottingoption>histogramtype
<value>pass/fail</value>
</plottingoption>
<plottingoption>histogrambins
<value>10</value>
</plottingoption>
<plottingoption>showhistogramdensity
<value>yes</value>
</plottingoption>
<plottingoption>showhistogramdeviation
<value>yes</value>
</plottingoption>
<plottingoption>showhistogrampercentmarkers
<value>no</value>
</plottingoption>
<plottingoption>histogramqqplot
<value>no</value>
</plottingoption>
<plottingoption>showhistogrampoints
<value>yes</value>
</plottingoption>
<plottingoption>printafter
<value>Each Selection</value>
</plottingoption>
<plottingoption>usewaveformtemplate
<value>no</value>
</plottingoption>
</plottingoptions>
<exploreroptions>
<exploreroption>waveformtemplate
<value>(None)</value>
</exploreroption>
</exploreroptions>
<incrementalsimsetup>
<useincremental>0</useincremental>
<reusenetlist>0</reusenetlist>
<copyreferenceresults>1</copyreferenceresults>
</incrementalsimsetup>
<overwritehistoryname>ExplorerRun.0</overwritehistoryname>
<runnabletests>
<test>CognigrOne_LR3_cDPI2_tb_1</test>
</runnabletests>
<disabledtests></disabledtests>
<vars></vars>
<allsweepsenabled>1</allsweepsenabled>
<sortVariableValues>0</sortVariableValues>
</checkpoint>
<timestamp>Aug 30 14:26:53 2021</timestamp>
<uuid>{3cd2b62e-afe8-4db1-a19f-ad0fa396dbe6}</uuid>
<resultsname>/home/p302242/libraries/CognigrOne_LR3/CognigrOne_LR3/cDPI2_tb/maestro/results/maestro/ExplorerRun.0.rdb</resultsname>
<simresults>$AXL_SETUPDB_DIR/results/maestro/ExplorerRun.0.rdb</simresults>
<rawdatadelstrategy>SaveAll
<simdatasavemode>All</simdatasavemode>
</rawdatadelstrategy>
<netlistdelstrategy>SaveAll</netlistdelstrategy>
<uselocalpsfdir>false</uselocalpsfdir>
<localpsfdir>/tmp/bics02.hpc.rug.nl_p302242_142653340</localpsfdir>
<psfdir>/home/p302242/simulation/CognigrOne_LR3/cDPI2_tb/maestro/results/maestro/ExplorerRun.0</psfdir>
<simdir>$AXL_PROJECT_DIR/CognigrOne_LR3/cDPI2_tb/maestro/results/maestro/ExplorerRun.0</simdir>
<gendatasheetplotsonsimulation>0</gendatasheetplotsonsimulation>
<loggingdatabasedir>/home/p302242/libraries/CognigrOne_LR3/CognigrOne_LR3/cDPI2_tb/maestro/results/maestro</loggingdatabasedir>
<runlog>/home/p302242/libraries/CognigrOne_LR3/CognigrOne_LR3/cDPI2_tb/maestro/results/maestro/ExplorerRun.0.log</runlog>
<runlogfile>$AXL_SETUPDB_DIR/results/maestro/ExplorerRun.0.log</runlogfile>
<schematicpoint></schematicpoint>
<test>all</test>
</historyentry>
</history>
</setupdb>

View File

@ -0,0 +1,2 @@
-- Master.tag File, Rev:1.0
maestro.sdb

View File

@ -0,0 +1,13 @@
Starting Single Run, Sweeps and Corners...
Current time: Mon Aug 30 14:26:54 2021
Best design point: 1
Design specs:
CognigrOne_LR3_cDPI2_tb_1 corner Nominal -
Design parameters:
ExplorerRun.0
Number of points completed: 1
Number of simulation errors: 0
ExplorerRun.0 completed.
Current time: Mon Aug 30 14:27:02 2021

File diff suppressed because it is too large Load Diff

Binary file not shown.

View File

@ -0,0 +1,2 @@
-- Master.tag File, Rev:1.0
sch.oa

Binary file not shown.

Binary file not shown.

After

Width:  |  Height:  |  Size: 958 B

Binary file not shown.

Binary file not shown.

View File

@ -0,0 +1,2 @@
-- Master.tag File, Rev:1.0
sch.oa

Binary file not shown.

Binary file not shown.

After

Width:  |  Height:  |  Size: 981 B

View File

@ -0,0 +1,2 @@
-- Master.tag File, Rev:1.0
symbol.oa

Binary file not shown.

Binary file not shown.

After

Width:  |  Height:  |  Size: 573 B

View File

@ -0,0 +1,992 @@
<?xml version="1.0"?>
<statedb ICVersion="IC6.1.8-64b.500.18" modifiedTime="08/30/2021 11:02:59" version="5">active
<Test Name="CognigrOne_LR3_cDPI_diff_th_tb_1">
<component Name="adeInfo" Type="skillTable">adeinfo
<field Name="projectDir" Type="string">"/home/p302242/simulation/CognigrOne_LR3/cDPI_diff_th_tb/maestro/results/maestro/.tmpADEDir_p302242/CognigrOne_LR3_cDPI_diff_th_tb_1/simulation"</field>
<field Name="descriptiontext" Type="string">"None"</field>
<field Name="designInfo" Type="list">("CognigrOne_LR3" "cDPI_diff_th_tb" "schematic" "spectre")</field>
</component>
<component Name="rfstim" Type="skillTable">rfstim</component>
<component Name="analyses" Type="skillTable">asiEnvSaveTable
<analyses Name="analysis">
<analysis Name="tran">
<partition Name="options">
<field Name="additionalOptions" Type="string">""</field>
<field Name="saveChannelOnly" Type="string">"No"</field>
<field Name="boundingBox" Type="string">""</field>
<field Name="maxDevicesInReport" Type="string">""</field>
<field Name="triseTemp" Type="string">"1.0"</field>
<field Name="sortMethod" Type="string">"Temperature Rise"</field>
<field Name="thermalTimeStep" Type="string">"0"</field>
<field Name="iterNum" Type="string">"2"</field>
<field Name="devicesInReport" Type="string">""</field>
<field Name="resTriseRpt" Type="string">"No"</field>
<field Name="triseLimit" Type="string">"300"</field>
<field Name="thermalmethod" Type="string">"Steady State"</field>
<field Name="config" Type="string">""</field>
<field Name="enableThermal" Type="list">nil</field>
<field Name="faultsamplemethod" Type="string">""</field>
<field Name="faultseed" Type="string">""</field>
<field Name="faultsampleratio" Type="string">""</field>
<field Name="faultsamplenum" Type="string">""</field>
<field Name="faultsamplingoption" Type="string">""</field>
<field Name="faultDoSampling" Type="list">nil</field>
<field Name="faultInfoAnalysis" Type="list">nil</field>
<field Name="faultDoNominal" Type="list">nil</field>
<field Name="faultRunCount" Type="string">""</field>
<field Name="faultCurrentRun" Type="string">""</field>
<field Name="faultextraoptions" Type="string">""</field>
<field Name="faultanalysis" Type="string">""</field>
<field Name="faultleadtime" Type="string">""</field>
<field Name="faultmaxiters" Type="string">""</field>
<field Name="faultmethod" Type="string">""</field>
<field Name="faultstop" Type="string">""</field>
<field Name="faultstep" Type="string">""</field>
<field Name="faultstart" Type="string">""</field>
<field Name="faulttimes" Type="string">""</field>
<field Name="faultTimePoints" Type="string">""</field>
<field Name="faultTimePointsMethod" Type="string">""</field>
<field Name="faultOptionsEnabled" Type="list">nil</field>
<field Name="emirfile" Type="string">""</field>
<field Name="emirstop" Type="string">""</field>
<field Name="emirstart" Type="string">""</field>
<field Name="emirformat" Type="string">""</field>
<field Name="additionalParams" Type="string">""</field>
<field Name="sort" Type="string">"name"</field>
<field Name="detail" Type="string">"node"</field>
<field Name="threshold" Type="string">"0.0"</field>
<field Name="timed" Type="list">nil</field>
<field Name="captab" Type="list">nil</field>
<field Name="restart" Type="string">""</field>
<field Name="maxiters" Type="string">"5"</field>
<field Name="acnames" Type="list">nil</field>
<field Name="start" Type="string">""</field>
<field Name="outputstart" Type="string">""</field>
<field Name="step" Type="string">""</field>
<field Name="maxstep" Type="string">""</field>
<field Name="minstep" Type="string">""</field>
<field Name="ic" Type="string">""</field>
<field Name="skipdc" Type="string">""</field>
<field Name="readic" Type="string">""</field>
<field Name="linearic" Type="string">""</field>
<field Name="oscfreq" Type="string">""</field>
<field Name="readns" Type="string">""</field>
<field Name="cmin" Type="string">""</field>
<field Name="write" Type="string">"spectre.ic"</field>
<field Name="writefinal" Type="string">"spectre.fc"</field>
<field Name="saveclock" Type="string">""</field>
<field Name="saveperiod" Type="string">""</field>
<field Name="savetime" Type="list">nil</field>
<field Name="savefile" Type="string">""</field>
<field Name="recover" Type="string">""</field>
<field Name="method" Type="string">""</field>
<field Name="relref" Type="string">""</field>
<field Name="vrefmax" Type="string">""</field>
<field Name="vrefbins" Type="list">nil</field>
<field Name="irefmax" Type="string">""</field>
<field Name="irefbins" Type="list">nil</field>
<field Name="lteratio" Type="string">""</field>
<field Name="annotate" Type="string">"status"</field>
<field Name="annotatedigits" Type="string">"4"</field>
<field Name="save" Type="string">""</field>
<field Name="nestlvl" Type="string">""</field>
<field Name="compression" Type="string">""</field>
<field Name="complvl" Type="string">""</field>
<field Name="compreltol" Type="string">""</field>
<field Name="compvabstol" Type="string">""</field>
<field Name="compiabstol" Type="string">""</field>
<field Name="flushpoints" Type="string">""</field>
<field Name="flushtime" Type="string">""</field>
<field Name="flushofftime" Type="string">""</field>
<field Name="oppoint" Type="string">""</field>
<field Name="skipstart" Type="string">""</field>
<field Name="skipstop" Type="string">""</field>
<field Name="skipcount" Type="string">""</field>
<field Name="strobeperiod" Type="string">""</field>
<field Name="strobeoutput" Type="string">""</field>
<field Name="strobedelay" Type="string">""</field>
<field Name="finalTimeOp" Type="symbol">t</field>
<field Name="FinalOpOtherParameters" Type="string">""</field>
<field Name="infotimes" Type="list">nil</field>
<field Name="infoname" Type="list">nil</field>
<field Name="InfotimesOtherParameters" Type="string">""</field>
<field Name="actimes" Type="list">nil</field>
</partition>
<partition Name="fields">
<field Name="noiseonoff" Type="string">""</field>
<field Name="noiseruns" Type="string">"100"</field>
<field Name="tranNoiseMultiRuns" Type="string">""</field>
<field Name="binnum" Type="string">"16"</field>
<field Name="transtrobe" Type="string">""</field>
<field Name="psdminfreq" Type="string">""</field>
<field Name="enableMinFreq" Type="string">""</field>
<field Name="psdmaxfreq" Type="string">""</field>
<field Name="psdstarttime" Type="string">""</field>
<field Name="enablepsdstarttime" Type="string">""</field>
<field Name="fundFreq" Type="string">""</field>
<field Name="analysisSetting" Type="string">""</field>
<field Name="noiseupdate" Type="string">""</field>
<field Name="noisetmin" Type="string">""</field>
<field Name="noisescale" Type="string">""</field>
<field Name="noiseseed" Type="string">"1"</field>
<field Name="noisefmin" Type="string">""</field>
<field Name="noisefmax" Type="string">""</field>
<field Name="trannoisemethod" Type="string">"default"</field>
<field Name="tranNoise" Type="string">""</field>
<field Name="errpreset" Type="string">""</field>
<field Name="calcStop" Type="string">""</field>
<field Name="stop" Type="string">"1"</field>
<field Name="enable" Type="list">(t)</field>
<field Name="noiseinst" Type="string">""</field>
<field Name="dynParamB" Type="list">nil</field>
<field Name="dynamicParameter" Type="string">"Parameter"</field>
<field Name="dynparam" Type="string">""</field>
<field Name="dynParamChoice" Type="string">"User-defined"</field>
<field Name="dynParamInput" Type="string">""</field>
<field Name="dynParamSetChoice" Type="string">"User-defined"</field>
<field Name="dynParamSetInput" Type="string">""</field>
<field Name="dynchoice" Type="string">"Parameter vector"</field>
<field Name="dynamicChoice" Type="string">"Vector"</field>
<field Name="param_file" Type="string">""</field>
<field Name="dynParamSetParam" Type="list">nil</field>
<field Name="dynHeadListBox" Type="skillDpl">
<field Name="choices" Type="list">("# time value")</field>
<field Name="value" Type="list">nil</field>
</field>
<field Name="dynParamListBox" Type="skillDpl">
<field Name="choices" Type="list">nil</field>
<field Name="value" Type="list">nil</field>
</field>
<field Name="dynParamTab" Type="list">nil</field>
<field Name="dynParamSetTab" Type="list">nil</field>
<field Name="paramTime" Type="string">""</field>
<field Name="paramValue" Type="string">""</field>
<field Name="param_vec" Type="list">nil</field>
<field Name="dynParam" Type="string">""</field>
<field Name="param" Type="string">""</field>
</partition>
</analysis>
</analyses>
</component>
<component Name="convergence" Type="skillTable">sigList
<partition Name="Signallist">
<field Name="initConds" Type="list">((selectionObject probeColor ("y2" "drawing") netProbe (probe:NULL) validSelectionObject t voltage "0.5" fullName "/Vw_out" type net))</field>
<field Name="nodeSets" Type="list">nil</field>
<field Name="forceNodes" Type="list">nil</field>
</partition>
</component>
<component Name="cosimOptions" Type="skillTable">asiEnvSaveTable
<partition Name="cosim">
<field Name="server" Type="string">""</field>
<field Name="serverr" Type="string">"localhost"</field>
<field Name="outputs" Type="list">nil</field>
<field Name="design" Type="string">""</field>
<field Name="port" Type="fixnum">38520</field>
<field Name="matlabStartDir" Type="string">""</field>
<field Name="matlabStartCmd" Type="string">"matlab"</field>
<field Name="whenStartMatlab" Type="string">"no"</field>
<field Name="enable" Type="list">nil</field>
<field Name="timeout" Type="fixnum">60</field>
<field Name="inputs" Type="list">nil</field>
</partition>
</component>
<component Name="devCheckingSetup" Type="skillTable">stateDpl
<field Name="stateDpl" Type="skillDpl">
<field Name="devCheckingEnabled" Type="string">"no"</field>
<field Name="asserts" Type="list">nil</field>
<field Name="inclusiveFilters" Type="list">nil</field>
<field Name="exclusiveFilters" Type="list">nil</field>
<field Name="options" Type="skillDpl">
<field Name="checklimit" Type="skillDpl">
<field Name="pzFilterExtreme" Type="list">nil</field>
<field Name="pzFilterNone" Type="list">nil</field>
<field Name="pzAddtionalArguments" Type="list">nil</field>
<field Name="pzDisableAll" Type="list">nil</field>
<field Name="pzEnableAll" Type="list">nil</field>
<field Name="pzSeverity" Type="string">"None"</field>
<field Name="noiseFilterExtreme" Type="list">nil</field>
<field Name="noiseFilterNone" Type="list">nil</field>
<field Name="noiseAddtionalArguments" Type="list">nil</field>
<field Name="noiseDisableAll" Type="list">nil</field>
<field Name="noiseEnableAll" Type="list">nil</field>
<field Name="noiseSeverity" Type="string">"None"</field>
<field Name="spFilterExtreme" Type="list">nil</field>
<field Name="spFilterNone" Type="list">nil</field>
<field Name="spAddtionalArguments" Type="list">nil</field>
<field Name="spDisableAll" Type="list">nil</field>
<field Name="spEnableAll" Type="list">nil</field>
<field Name="spSeverity" Type="string">"None"</field>
<field Name="acFilterExtreme" Type="list">nil</field>
<field Name="acFilterNone" Type="list">nil</field>
<field Name="acAddtionalArguments" Type="list">nil</field>
<field Name="acDisableAll" Type="list">nil</field>
<field Name="acEnableAll" Type="list">nil</field>
<field Name="acSeverity" Type="string">"None"</field>
<field Name="dcOpFilterExtreme" Type="list">nil</field>
<field Name="dcOpFilterNone" Type="list">nil</field>
<field Name="dcOpAddtionalArguments" Type="list">nil</field>
<field Name="dcOpDisableAll" Type="list">nil</field>
<field Name="dcOpEnableAll" Type="list">nil</field>
<field Name="dcOpSeverity" Type="string">"None"</field>
<field Name="dcFilterExtreme" Type="list">nil</field>
<field Name="dcFilterNone" Type="list">nil</field>
<field Name="dcAddtionalArguments" Type="list">nil</field>
<field Name="dcDisableAll" Type="list">nil</field>
<field Name="dcEnableAll" Type="list">nil</field>
<field Name="dcSeverity" Type="string">"None"</field>
<field Name="tranFilterExtreme" Type="list">nil</field>
<field Name="tranFilterNone" Type="list">nil</field>
<field Name="tranAddtionalArguments" Type="list">nil</field>
<field Name="tranDisableAll" Type="list">nil</field>
<field Name="tranEnableAll" Type="list">nil</field>
<field Name="tranSeverity" Type="string">"None"</field>
<field Name="tranStopTime" Type="list">nil</field>
<field Name="tranStartTime" Type="list">nil</field>
</field>
</field>
</field>
</component>
<component Name="emirOptions" Type="skillTable">asiEnvSaveTable
<partition Name="emirOpts">
<field Name="irIrcxFile" Type="string">""</field>
<field Name="short" Type="string">""</field>
<field Name="spgsAdvIRDrop" Type="list">nil</field>
<field Name="vfiProcess" Type="string">""</field>
<field Name="layerName" Type="string">""</field>
<field Name="dfIILayermapTSMCNoShe" Type="string">""</field>
<field Name="runDirTSMCNoShe" Type="string">""</field>
<field Name="foundry" Type="string">""</field>
<field Name="runDirNoShe" Type="string">""</field>
<field Name="ictFile" Type="string">""</field>
<field Name="netName" Type="string">""</field>
<field Name="staticCurrentFile" Type="string">""</field>
<field Name="staticAnaSummaryBox" Type="list">nil</field>
<field Name="sheLibName" Type="string">""</field>
<field Name="timeWindowListBox" Type="list">nil</field>
<field Name="advancedTableForSHE12LPP" Type="list">nil</field>
<field Name="sheSelfHeatingReports" Type="list">nil</field>
<field Name="summaryListBox" Type="list">nil</field>
<field Name="dfIILayermapGF12LPPShe" Type="string">""</field>
<field Name="shortResLayer" Type="string">"yes"</field>
<field Name="dfIILayermapNoShe" Type="string">""</field>
<field Name="dspfLayermap" Type="string">""</field>
<field Name="sheTileSizeL" Type="string">""</field>
<field Name="layermapTSMCNoShe" Type="string">"Use DFII Layermap"</field>
<field Name="advancedTableForSHE" Type="list">nil</field>
<field Name="sheEmReports" Type="list">nil</field>
<field Name="runNameNoShe" Type="string">""</field>
<field Name="emIrcxFile" Type="string">""</field>
<field Name="spgsFilterMoscap" Type="string">"no"</field>
<field Name="layermapNoShe" Type="string">"Use DFII Layermap"</field>
<field Name="sheViewName" Type="string">""</field>
<field Name="layermapGF12LPPShe" Type="string">"Use DFII Layermap"</field>
<field Name="runDirGF12LPPShe" Type="string">""</field>
<field Name="runDirTSMCShe" Type="string">""</field>
<field Name="autoLoadVfiFile" Type="list">nil</field>
<field Name="runNameGF12LPPShe" Type="string">""</field>
<field Name="solverMethodTable" Type="list">nil</field>
<field Name="editSummaryInfoTable" Type="list">nil</field>
<field Name="sheCellName" Type="string">""</field>
<field Name="solverMethod" Type="string">"Direct"</field>
<field Name="shParamFile" Type="string">""</field>
<field Name="hideAnalysesItems" Type="string">""</field>
<field Name="advancedTableForNoSHE" Type="list">nil</field>
<field Name="qrcTechFile" Type="string">""</field>
<field Name="sheTileSizeR" Type="string">""</field>
<field Name="includeFile" Type="string">""</field>
<field Name="advancedTable" Type="list">nil</field>
<field Name="runNameTSMCNoShe" Type="string">""</field>
<field Name="runNameTSMCShe" Type="string">""</field>
<field Name="enableEMIRAna" Type="list">nil</field>
<field Name="emOnlyIctFile" Type="string">""</field>
<field Name="customizedOptionsListBox" Type="list">nil</field>
<field Name="emDataFile" Type="string">""</field>
<field Name="shEMEffect" Type="string">"beolT"</field>
<field Name="dynamicAnaSummaryBox" Type="list">nil</field>
<field Name="dspfListBox" Type="list">nil</field>
<field Name="anaType" Type="string">"Dynamic"</field>
<field Name="timeWindowMode" Type="string">""</field>
<field Name="mode" Type="string">"No SHE"</field>
<field Name="techFileMode" Type="string">"qrcTechFile with external EM Only ICT File"</field>
</partition>
</component>
<component Name="environmentOptions" Type="skillTable">asiEnvSaveTable
<partition Name="envOpts">
<field Name="extra_port" Type="string">"false"</field>
<field Name="rptOptionToggle" Type="string">""</field>
<field Name="emirEnable_spgs" Type="list">nil</field>
<field Name="other_opts" Type="string">""</field>
<field Name="vcoType" Type="string">""</field>
<field Name="definitionFiles" Type="list">nil</field>
<field Name="userCmdLineOption" Type="string">""</field>
<field Name="enablePhaseNoiseBW" Type="symbol">t</field>
<field Name="termMismatch" Type="string">"default"</field>
<field Name="stimulusFile" Type="list">nil</field>
<field Name="enableNoiseRefactor" Type="symbol">t</field>
<field Name="emirLCVDisable" Type="list">nil</field>
<field Name="pllPlugin" Type="string">""</field>
<field Name="emirEnable" Type="list">nil</field>
<field Name="lastEmAnaType" Type="string">""</field>
<field Name="emirSumList_MacroModel" Type="list">nil</field>
<field Name="stopViewList" Type="list">("spectre")</field>
<field Name="restart" Type="string">""</field>
<field Name="emOnlyICTFile" Type="string">""</field>
<field Name="emirSumList" Type="list">nil</field>
<field Name="licQueueSleep" Type="string">""</field>
<field Name="enableDiagnose" Type="list">nil</field>
<field Name="emLayerMap" Type="string">""</field>
<field Name="port_order" Type="string">"sch"</field>
<field Name="emirSumList_Static" Type="list">nil</field>
<field Name="displayToolTip" Type="symbol">t</field>
<field Name="enableNewMultiHarmCut" Type="list">nil</field>
<field Name="savestate" Type="string">""</field>
<field Name="emirSumList_Dynamic" Type="list">nil</field>
<field Name="cmd64bit" Type="list">nil</field>
<field Name="emTechFile" Type="string">""</field>
<field Name="printComments" Type="list">(nil nil)</field>
<field Name="bus_delimiter" Type="string">""</field>
<field Name="pllWizardinfo" Type="string">""</field>
<field Name="autoDisplay" Type="symbol">t</field>
<field Name="enableArclength" Type="list">nil</field>
<field Name="switchViewList" Type="list">("spectre" "cmos_sch" "cmos.sch" "schematic" "veriloga")</field>
<field Name="setEngNotation" Type="list">nil</field>
<field Name="setTopLevelAsSubckt" Type="list">nil</field>
<field Name="termDirectionMismatch" Type="string">"default"</field>
<field Name="cpfIsVMSEnabled" Type="symbol">t</field>
<field Name="case_sensitive" Type="string">"false"</field>
<field Name="analysisOrder" Type="list">("pz" "dcmatch" "stb" "tran" "envlp" "ac" "dc" "lf" "noise" "xf" "sp" "pss" "pac" "pstb" "pnoise" "pxf" "psp" "qpss" "qpac" "qpnoise" "qpxf" "qpsp" "hb" "hbac" "hbstb" "hbnoise" "hbxf" "sens" "acmatch")</field>
<field Name="macroModelEnable" Type="list">nil</field>
<field Name="macroModelList" Type="list">nil</field>
<field Name="firstRun" Type="symbol">t</field>
<field Name="paramRangeCheckFile" Type="string">""</field>
<field Name="liclog" Type="list">nil</field>
<field Name="emirSumList_SPGS" Type="list">nil</field>
<field Name="emirDSPF" Type="list">nil</field>
<field Name="mapFirstSubnodeForNet" Type="list">nil</field>
<field Name="emirEnable_static" Type="list">nil</field>
<field Name="licQueueTimeOut" Type="string">"900"</field>
<field Name="poloNameMapDBDir" Type="string">""</field>
<field Name="sst2usecolon" Type="list">nil</field>
<field Name="preserveSubcktTermNamesByOrder" Type="list">nil</field>
<field Name="emAnaType" Type="string">"Dynamic"</field>
<field Name="dspfFile" Type="list">nil</field>
<field Name="lsusp" Type="list">nil</field>
</partition>
</component>
<component Name="faultRules" Type="skillTable">faultRules
<field Name="faultRules" Type="list">nil</field>
</component>
<component Name="faults" Type="skillTable">faults
<field Name="faults" Type="list">nil</field>
</component>
<component Name="graphicalStimuli" Type="skillTable">graphicalStimTable
<field Name="GLOBALS" Type="list">(nil)</field>
<field Name="INPUTS" Type="list">(nil)</field>
<field Name="HISTORY_NAMED_STIMULI" Type="list">(nil)</field>
</component>
<component Name="mdlOptions" Type="skillTable">asiEnvSaveTable
<partition Name="mdlOpts">
<field Name="engFormat" Type="fixnum">6</field>
<field Name="cellName" Type="string">""</field>
<field Name="additionals" Type="string">""</field>
<field Name="mdlFrom" Type="string">"From File"</field>
<field Name="formatType" Type="string">"Default"</field>
<field Name="viewName" Type="string">""</field>
<field Name="enable" Type="list">nil</field>
<field Name="libName" Type="string">""</field>
<field Name="mdlFile" Type="string">""</field>
<field Name="precFormat" Type="string">"%.15g"</field>
</partition>
</component>
<component Name="modelSetup" Type="skillTable">modelSetup
<field Name="modelFiles" Type="list">(("/projects/bics/designkits/xfab/xp018/cadence/v6_1/spectre/v6_1_6/lp5mos/config.scs" "default") ("/projects/bics/designkits/xfab/xp018/cadence/v6_1/spectre/v6_1_6/lp5mos/param.scs" "3s") ("/projects/bics/designkits/xfab/xp018/cadence/v6_1/spectre/v6_1_6/lp5mos/bip.scs" "tm") ("/projects/bics/designkits/xfab/xp018/cadence/v6_1/spectre/v6_1_6/lp5mos/cap.scs" "tm") ("/projects/bics/designkits/xfab/xp018/cadence/v6_1/spectre/v6_1_6/lp5mos/dio.scs" "tm") ("/projects/bics/designkits/xfab/xp018/cadence/v6_1/spectre/v6_1_6/lp5mos/mos.scs" "tm") ("/projects/bics/designkits/xfab/xp018/cadence/v6_1/spectre/v6_1_6/lp5mos/res.scs" "tm") ("# /projects/bics/designkits/xfab/xp018/cadence/v6_1/spectre/v6_1_6/lp5mos/xp018.scs" "mc_g"))</field>
</component>
<component Name="mtsSetup" Type="skillTable">mtsSetup
<field Name="mtsOptions" Type="list">nil</field>
<field Name="mtsMode" Type="list">nil</field>
</component>
<component Name="opPoints" Type="skillTable">opPoints
<field Name="opPoints" Type="list">nil</field>
</component>
<component Name="otherInfo" Type="skillTable">otherInfo</component>
<component Name="outputs" Type="skillTable">outputs
<partition Name="outputsCommon">
<partition Name="envSaveOptions">
<field Name="keepRegPcellLabel" Type="string">""</field>
<field Name="keepRegisteredPcell" Type="symbol">t</field>
<field Name="clearPcell" Type="string">""</field>
<field Name="savePcellSelect" Type="string">""</field>
<field Name="savePcell" Type="string">""</field>
<field Name="subcktoppoint" Type="list">nil</field>
<field Name="pcellFrame" Type="string">""</field>
<field Name="saveBySubcktInstances" Type="list">(("&lt;Click_here_to_add_an_Instance&gt;" "" "" "" "" "" "" "" "all" "" ""))</field>
<field Name="saveBySubcktFrame" Type="string">""</field>
<field Name="allcapInfo" Type="list">nil</field>
<field Name="extremesInfo" Type="list">nil</field>
<field Name="assertsInfo" Type="list">nil</field>
<field Name="designParamValInfo" Type="symbol">t</field>
<field Name="subcktsInfo" Type="symbol">t</field>
<field Name="primitivesInfo" Type="symbol">t</field>
<field Name="outputParamInfo" Type="symbol">t</field>
<field Name="elementInfo" Type="symbol">t</field>
<field Name="saveFrame" Type="string">""</field>
<field Name="save" Type="string">"allpub"</field>
<field Name="pwr" Type="string">""</field>
<field Name="nestlvl" Type="string">""</field>
<field Name="currents" Type="string">""</field>
<field Name="useprobes" Type="string">""</field>
<field Name="subcktprobelvl" Type="string">""</field>
<field Name="saveahdlvars" Type="string">""</field>
<field Name="timewindFrame" Type="string">""</field>
<field Name="enableTimeWindowSetup" Type="list">nil</field>
<field Name="timeWindLabel" Type="string">""</field>
<field Name="timeWindow" Type="string">""</field>
<field Name="signalNames" Type="string">""</field>
<field Name="time_window_a" Type="string">""</field>
<field Name="sumInfo_a" Type="string">""</field>
<field Name="infoFrame" Type="string">""</field>
<field Name="infoOptions" Type="list">(("modelParameter" "models" "rawfile" "" "" "" t) ("element" "inst" "rawfile" "" "" "" t) ("outputParameter" "output" "rawfile" "" "" "" t) ("designParamVals" "parameters" "rawfile" "" "" "" t) ("primitives" "primitives" "rawfile" "" "" "" t) ("subckts" "subckts" "rawfile" "" "" "" t) ("asserts" "assert" "rawfile" "" "" "" nil) ("extremeinfo" "all" "logfile" "" "yes" "" nil) ("allcap" "allcap" "file" "" "" "" nil) ("&lt;Click_To_Add&gt;" "none" "rawfile" "" "" "" nil))</field>
<field Name="captab_detail" Type="string">"node"</field>
<field Name="captab_sort" Type="string">"name"</field>
<field Name="captab_threshold" Type="string">""</field>
<field Name="outputFrame" Type="string">""</field>
<field Name="simOutputFormat" Type="string">"psfxl"</field>
<field Name="fastViewOption" Type="list">nil</field>
<field Name="modelParamInfo" Type="symbol">t</field>
</partition>
<field Name="outputList" Type="skillList">
<field Name="outputList_0" Type="defstruct">sevOutputStruct
<field Name="name" Type="list">nil</field>
<field Name="expression" Type="list">nil</field>
<field Name="signal" Type="string">"/Vw_out"</field>
<field Name="scriptFile" Type="list">nil</field>
<field Name="type2" Type="list">nil</field>
<field Name="evalType" Type="list">nil</field>
<field Name="displayIt" Type="list">nil</field>
<field Name="internalName" Type="list">nil</field>
<field Name="masterName" Type="list">nil</field>
<field Name="masterScriptFile" Type="list">nil</field>
<field Name="saveScriptFile" Type="list">nil</field>
<field Name="saveMasterScriptFile" Type="list">nil</field>
<field Name="isReferenced" Type="list">nil</field>
<field Name="lastEvalTime" Type="list">nil</field>
<field Name="origin" Type="list">nil</field>
<field Name="sweepSet" Type="list">nil</field>
<field Name="depList" Type="list">nil</field>
<field Name="type" Type="symbol">net</field>
<field Name="areaTable" Type="list">nil</field>
<field Name="selectionDetail" Type="list">((color ("y4" "drawing")))</field>
<field Name="value" Type="list">nil</field>
<field Name="plot" Type="symbol">t</field>
<field Name="save" Type="symbol">t</field>
<field Name="march" Type="list">nil</field>
<field Name="implicit" Type="list">nil</field>
<field Name="index" Type="fixnum">1</field>
<field Name="timeStamp" Type="list">nil</field>
<field Name="graphType" Type="list">nil</field>
<field Name="yaxisLabel" Type="list">nil</field>
<field Name="label" Type="list">nil</field>
<field Name="yaxisUnit" Type="list">nil</field>
<field Name="waveSpec" Type="list">nil</field>
<field Name="uniqueName" Type="string">"/Vw_out"</field>
<field Name="inValidObject" Type="list">nil</field>
<field Name="inLastSet" Type="list">nil</field>
</field>
</field>
</partition>
<partition Name="outputsScripts">
<field Name="outputList" Type="list">nil</field>
</partition>
<partition Name="outputsAreaGoal">
<field Name="areaGoal" Type="list">nil</field>
</partition>
<partition Name="outputsOpRegion">
<field Name="opRegion" Type="list">nil</field>
</partition>
</component>
<component Name="paramSetup" Type="skillTable">expr</component>
<component Name="relxOptions" Type="skillTable">asiEnvSaveTable
<partition Name="relxOpts">
<field Name="displayOMIGui" Type="list">nil</field>
<field Name="electroParamTypeAgeMos" Type="string">"Delta Idsat"</field>
<field Name="mosDevDegVbconst_n" Type="string">""</field>
<field Name="mosDeltadIdlin" Type="string">"0.1"</field>
<field Name="gaAlterParamListBox" Type="list">nil</field>
<field Name="mosCalcLifetime" Type="list">nil</field>
<field Name="degdatatype" Type="string">"appendage"</field>
<field Name="displayRelxpertGui" Type="list">nil</field>
<field Name="combinedeg" Type="string">"off"</field>
<field Name="gaMode" Type="string">"Age points"</field>
<field Name="gaAlterHeadListBox" Type="list">nil</field>
<field Name="ageMultiMode" Type="string">"AgeMOS(Spectre Internal)"</field>
<field Name="mosDeltad" Type="string">"0.1"</field>
<field Name="enableTMI" Type="list">nil</field>
<field Name="mosDevDegVsconstModels" Type="string">""</field>
<field Name="ageOMIModelType" Type="string">"AgeMOS(Spectre Internal)"</field>
<field Name="enableDegSort" Type="list">nil</field>
<field Name="mosDevDegVthUseIs" Type="list">nil</field>
<field Name="uriLibs" Type="string">""</field>
<field Name="mosEffectiveModel" Type="symbol">t</field>
<field Name="mosDevDegIdconst" Type="string">""</field>
<field Name="mosAgeDomain" Type="string">"loglog"</field>
<field Name="uriMode" Type="string">"agemos"</field>
<field Name="limitDegradation" Type="string">"Real"</field>
<field Name="tmiBTI" Type="string">""</field>
<field Name="enableStress" Type="list">nil</field>
<field Name="reportSubcktDegradation" Type="list">nil</field>
<field Name="mosAgingTime" Type="string">"10"</field>
<field Name="scaleMode" Type="string">"Original"</field>
<field Name="cycleTime" Type="string">""</field>
<field Name="enableOutputSubcktDegInFile" Type="string">"GUI"</field>
<field Name="showOutputModelName" Type="list">nil</field>
<field Name="temp" Type="string">""</field>
<field Name="mosDevDegVgsweep_end_pModels" Type="string">""</field>
<field Name="tmiPHCI" Type="string">""</field>
<field Name="uriDebugMode" Type="string">"0"</field>
<field Name="standardAgeType" Type="string">"Age points"</field>
<field Name="mosDevDegVdconst" Type="string">""</field>
<field Name="mosAgeMethod" Type="string">"agemos"</field>
<field Name="mosDeltadVtlin" Type="string">"0.1"</field>
<field Name="degSortElectroParam" Type="string">"TMI Default"</field>
<field Name="mosModifyNetlist" Type="list">nil</field>
<field Name="mosDevDegVgstep" Type="string">""</field>
<field Name="mosSubcktInSch" Type="string">""</field>
<field Name="mosAgingTimeUnit" Type="string">"Years"</field>
<field Name="mosDevDegVthConstCurrSp" Type="list">nil</field>
<field Name="showDegHideAgeLevel" Type="string">""</field>
<field Name="mosAgeModelLimitNum" Type="string">"10"</field>
<field Name="mosDeltadIdsat" Type="string">"0.1"</field>
<field Name="mosDevDegVgsweep_end_p" Type="string">""</field>
<field Name="gaSaveResults" Type="string">"all"</field>
<field Name="mosDevDegVthUseId" Type="list">nil</field>
<field Name="gaPassParam" Type="string">"no"</field>
<field Name="includeFiles" Type="string">""</field>
<field Name="aged_data_dir" Type="string">""</field>
<field Name="tmiPBTI" Type="string">""</field>
<field Name="relusercmdlineoption" Type="string">""</field>
<field Name="enabledegdata" Type="list">nil</field>
<field Name="stress_var_list" Type="list">nil</field>
<field Name="electroParamType" Type="string">"TMI Default"</field>
<field Name="presetBlock" Type="string">""</field>
<field Name="checknegagingtype" Type="string">"error"</field>
<field Name="mosDevDegIdconstwl" Type="string">""</field>
<field Name="mosModelsInSch" Type="string">""</field>
<field Name="mosMaskDevInstance" Type="string">""</field>
<field Name="gaAgePoints" Type="string">"10"</field>
<field Name="stress_data_dir" Type="string">""</field>
<field Name="saAgeStepOrTotalStep" Type="string">""</field>
<field Name="degSortThreshold" Type="string">""</field>
<field Name="relxTranStart" Type="string">""</field>
<field Name="mosDevDegVgsweep_start_pModels" Type="string">""</field>
<field Name="tmiNBTI" Type="string">""</field>
<field Name="anaModeClone" Type="string">"Reliability"</field>
<field Name="gaAgeStepTotalStep" Type="string">""</field>
<field Name="mosMaskDev" Type="list">nil</field>
<field Name="mosDevDegVdconstwl" Type="string">""</field>
<field Name="mosDevDegVdd" Type="string">""</field>
<field Name="mosMaskSubckt" Type="string">""</field>
<field Name="mosDevDegVgsweep_start_p" Type="string">""</field>
<field Name="mosAgeModelLimit" Type="list">nil</field>
<field Name="fresh_data_dir" Type="string">""</field>
<field Name="mosDevDegVdlinModels" Type="string">""</field>
<field Name="checknegagingclamp" Type="string">"no"</field>
<field Name="degSortType" Type="string">"threshold"</field>
<field Name="degSortAgeLevel" Type="string">"TMI Default"</field>
<field Name="mosAgeModelFilePath" Type="string">""</field>
<field Name="relxTranStop" Type="string">""</field>
<field Name="btiRecovery" Type="list">nil</field>
<field Name="degSortMaxDevices" Type="string">""</field>
<field Name="gaAgeStepStop" Type="string">""</field>
<field Name="doADELPostProcess" Type="symbol">t</field>
<field Name="mosDevDegVgsweep_end_nModels" Type="string">""</field>
<field Name="gaDynamicTable" Type="list">nil</field>
<field Name="mosEnableOutputDevDeg" Type="list">nil</field>
<field Name="otherTMIRXOptions" Type="string">""</field>
<field Name="gaAgeStepStart" Type="string">""</field>
<field Name="mosDevDegVgsweep_end_n" Type="string">""</field>
<field Name="ageModelType" Type="string">"AgeMOS(Spectre Internal)"</field>
<field Name="enableRelXTran" Type="list">nil</field>
<field Name="uriAppendtype" Type="string">"none"</field>
<field Name="mosOutputBiasVoltage" Type="list">nil</field>
<field Name="enableAging" Type="list">nil</field>
<field Name="mosDevDegVdlin" Type="string">""</field>
<field Name="mosHciLifeTimeMethod" Type="string">"calculate"</field>
<field Name="mosMinAge" Type="string">""</field>
<field Name="outputSubcktDegVdlin" Type="string">""</field>
<field Name="mosDumpAgeModel" Type="list">nil</field>
<field Name="degSortValue" Type="string">""</field>
<field Name="anaMode" Type="string">"Reliability"</field>
<field Name="saStepType" Type="string">"Total steps"</field>
<field Name="mosHciOpMethod" Type="string">"calculate"</field>
<field Name="outputSubcktDegVglin" Type="string">""</field>
<field Name="gaDynamicParam" Type="list">nil</field>
<field Name="gaMultiPlayBack" Type="list">nil</field>
<field Name="presetValue" Type="string">""</field>
<field Name="mosDevDegSimulatorPath" Type="string">""</field>
<field Name="outputSubcktDegVglinSubckts" Type="string">""</field>
<field Name="mosDevDegVdsatModels" Type="string">""</field>
<field Name="mosCompactAgeModel" Type="list">nil</field>
<field Name="enableOutputDevDegInFile" Type="string">"GUI"</field>
<field Name="mosDevDegNeedSimulator" Type="list">nil</field>
<field Name="enableAgingForTMI" Type="symbol">t</field>
<field Name="gaAgeStepType" Type="string">"lin"</field>
<field Name="mosDevDegVdsat" Type="string">""</field>
<field Name="mosAppendDeviceAge" Type="list">nil</field>
<field Name="mosHciLifeTimeMethodTable" Type="string">""</field>
<field Name="tmiNHCI" Type="string">""</field>
<field Name="tmiAgingType" Type="string">"TMI Aging"</field>
<field Name="presetType" Type="string">"lifetime"</field>
<field Name="outputSubcktDegVddSubckts" Type="string">""</field>
<field Name="enableDegRatio" Type="list">nil</field>
<field Name="gaEarlyExit" Type="symbol">t</field>
<field Name="outputSubcktDegVdlinSubckts" Type="string">""</field>
<field Name="tmiHCI" Type="string">""</field>
<field Name="gaSkipLast" Type="list">nil</field>
<field Name="gaInputStressDir" Type="string">""</field>
<field Name="enableSelfheating" Type="list">nil</field>
<field Name="otherRXOptions" Type="string">""</field>
<field Name="ageLevel" Type="string">"All"</field>
<field Name="enableRelxpert" Type="list">nil</field>
<field Name="mosHciAccuracy" Type="string">"liberal (1)"</field>
<field Name="mosDevDegVbconst_p" Type="string">""</field>
<field Name="mindtemp" Type="string">""</field>
<field Name="gaAgeStepUnit" Type="string">"Years"</field>
<field Name="outputSubcktDegVdd" Type="string">""</field>
<field Name="compactagednetlist" Type="string">"none"</field>
<field Name="gaSkipFresh" Type="list">nil</field>
<field Name="mosDevDegVglinModels" Type="string">""</field>
<field Name="gaAlterParam" Type="string">""</field>
<field Name="mosVthMethod" Type="string">"calculate"</field>
<field Name="gaAlterTime" Type="string">""</field>
<field Name="mosHciIgateMethod" Type="string">"calculate"</field>
<field Name="presetAgeLevel" Type="string">""</field>
<field Name="outputSubcktDegVgsatSubckts" Type="string">""</field>
<field Name="enableAgeMosTMI" Type="list">nil</field>
<field Name="mosAgeModelingEffect" Type="string">"Include"</field>
<field Name="gaAgePointsUnit" Type="string">"Years"</field>
<field Name="mosDevDegVbconst_nModels" Type="string">""</field>
<field Name="mosHciIdMethod" Type="string">"ids"</field>
<field Name="outputSubcktDegVgsat" Type="string">""</field>
<field Name="showunageddevices" Type="string">"limit"</field>
<field Name="mosDevDegVglin" Type="string">""</field>
<field Name="displayTMIGui" Type="list">nil</field>
<field Name="mosDevDegVgsweep_start_nModels" Type="string">""</field>
<field Name="mcMode" Type="string">"singlestress"</field>
<field Name="mosDevDegVddSetting" Type="list">nil</field>
<field Name="reportModelParamChanged" Type="list">nil</field>
<field Name="mosMode" Type="list">(t t t)</field>
<field Name="mosDevDegVgsweep_start_n" Type="string">""</field>
<field Name="tmiMosMode" Type="list">(t t)</field>
<field Name="mosDevDegModels" Type="string">""</field>
<field Name="outputSubcktDegSubNodes" Type="string">""</field>
<field Name="enableGradualAging" Type="list">nil</field>
<field Name="simModeFile" Type="string">"input.bs0"</field>
<field Name="outputSubcktDegSubNames" Type="string">""</field>
<field Name="mosMaskModels" Type="string">""</field>
<field Name="mosMaskDevInclude" Type="string">"include"</field>
<field Name="gaAlterValue" Type="string">""</field>
<field Name="agingPointsType" Type="string">"Standard aging"</field>
<field Name="relxpertExecName" Type="string">"relxpert"</field>
<field Name="agingVariation" Type="list">nil</field>
<field Name="degRatioDevInclude" Type="string">"include"</field>
<field Name="displayTMIRTSHEGui" Type="list">nil</field>
<field Name="gaIterationNum" Type="string">""</field>
<field Name="mosDevDegVbconst_pModels" Type="string">""</field>
<field Name="mosOutputSubcktDegInFilePath" Type="string">""</field>
<field Name="simModeType" Type="string">"all"</field>
<field Name="enableEMIROnStress" Type="list">nil</field>
<field Name="degCheckException" Type="string">""</field>
<field Name="mosDevDegVsconst" Type="string">""</field>
<field Name="outputSubcktDegIvth" Type="string">""</field>
<field Name="addAdditionalArgumentForTMI" Type="list">nil</field>
<field Name="outputmethod" Type="string">"single"</field>
<field Name="aged_var_list" Type="list">nil</field>
<field Name="mosDevDegVgsatModels" Type="string">""</field>
<field Name="outputSubcktDegIvthSubckts" Type="string">""</field>
<field Name="degRatioDevInstance" Type="string">""</field>
<field Name="outputSubcktDegIvthn" Type="string">""</field>
<field Name="gaSaveSome" Type="string">""</field>
<field Name="enablePreset" Type="list">nil</field>
<field Name="enableAgeMOS" Type="symbol">t</field>
<field Name="mosOutputDevDegInFilePath" Type="string">""</field>
<field Name="nativeMode" Type="string">"Spectre native"</field>
<field Name="removeTMIAgeSettingInFresh" Type="list">nil</field>
<field Name="mosDevDegVgstepModels" Type="string">""</field>
<field Name="outputSubcktDegIvthp" Type="string">""</field>
<field Name="mosAgeModelLimitType" Type="string">"age"</field>
<field Name="mosDevDegVgsat" Type="string">""</field>
</partition>
</component>
<component Name="simulationFiles" Type="skillTable">_simulationFiles
<field Name="pspiceFile" Type="list">nil</field>
<field Name="allDspfFiles" Type="list">nil</field>
<field Name="allEVcdFiles" Type="list">nil</field>
<field Name="VcdInfoFile" Type="string">""</field>
<field Name="allDefinitionFiles" Type="list">nil</field>
<field Name="allIncludedPaths" Type="list">nil</field>
<field Name="allVectorFiles" Type="list">nil</field>
<field Name="EVcdInfoFile" Type="string">""</field>
<field Name="stimulusFile" Type="list">nil</field>
<field Name="dspfFile" Type="list">nil</field>
<field Name="allPspiceFiles" Type="list">nil</field>
<field Name="spefFile" Type="list">nil</field>
<field Name="VcdFile" Type="string">""</field>
<field Name="VectorFile" Type="list">nil</field>
<field Name="allSpefFiles" Type="list">nil</field>
<field Name="hlcheck" Type="string">"off"</field>
<field Name="EVcdFile" Type="string">""</field>
<field Name="allVcdFiles" Type="list">nil</field>
<field Name="definitionFiles" Type="list">nil</field>
<field Name="allStimulusFiles" Type="list">nil</field>
<field Name="includePath" Type="string">""</field>
</component>
<component Name="simulatorOptions" Type="skillTable">asiEnvSaveTable
<partition Name="opts">
<field Name="value1" Type="string">""</field>
<field Name="scale" Type="string">"1.0"</field>
<field Name="convdbg" Type="string">""</field>
<field Name="preorder" Type="string">""</field>
<field Name="colslog" Type="string">""</field>
<field Name="generalnoiseinst" Type="list">nil</field>
<field Name="senstype" Type="string">""</field>
<field Name="digits" Type="string">"5"</field>
<field Name="limit" Type="string">""</field>
<field Name="multithread" Type="string">""</field>
<field Name="sensformat" Type="string">""</field>
<field Name="iccapcheck" Type="string">""</field>
<field Name="tranFilterNone" Type="list">nil</field>
<field Name="tranAddtionalArguments" Type="string">""</field>
<field Name="nthreads" Type="string">""</field>
<field Name="dcAddtionalArguments" Type="string">""</field>
<field Name="scalefactor" Type="string">""</field>
<field Name="tranFilterExtreme" Type="list">nil</field>
<field Name="vdsatmod" Type="string">""</field>
<field Name="dptran_gmethod" Type="string">""</field>
<field Name="flow" Type="string">""</field>
<field Name="vthmod" Type="string">""</field>
<field Name="ivthn" Type="string">""</field>
<field Name="nportbbsversion" Type="string">""</field>
<field Name="try_fast_op" Type="string">""</field>
<field Name="acFilterNone" Type="list">nil</field>
<field Name="ivthp" Type="string">""</field>
<field Name="ivthw" Type="string">""</field>
<field Name="acAddtionalArguments" Type="string">""</field>
<field Name="spAddtionalArguments" Type="string">""</field>
<field Name="note" Type="string">""</field>
<field Name="gmethod" Type="string">""</field>
<field Name="acFilterExtreme" Type="list">nil</field>
<field Name="residualtol" Type="string">""</field>
<field Name="dcFilterExtreme" Type="list">nil</field>
<field Name="dcOpAddtionalArguments" Type="string">""</field>
<field Name="icpriority" Type="string">""</field>
<field Name="dcFilterNone" Type="list">nil</field>
<field Name="noiseOffType" Type="list">(nil nil nil nil nil)</field>
<field Name="title" Type="string">""</field>
<field Name="nport_default_interp" Type="string">""</field>
<field Name="minr" Type="string">""</field>
<field Name="dcOpFilterExtreme" Type="list">nil</field>
<field Name="sensfile" Type="string">"../psf/sens.output"</field>
<field Name="nonconv_topnum" Type="string">""</field>
<field Name="dcOpFilterNone" Type="list">nil</field>
<field Name="noiseOnType" Type="list">(nil nil nil nil nil)</field>
<field Name="nport_default_passivity" Type="string">""</field>
<field Name="tnom" Type="string">"27"</field>
<field Name="dcOpDisableAll" Type="list">nil</field>
<field Name="pzFilterNone" Type="list">nil</field>
<field Name="nportunusedportgmin" Type="string">""</field>
<field Name="dcOpEnableAll" Type="list">nil</field>
<field Name="tempeffects" Type="string">""</field>
<field Name="pzAddtionalArguments" Type="string">""</field>
<field Name="nportcompressfiledir" Type="string">""</field>
<field Name="tranCheckWindows" Type="string">""</field>
<field Name="nportbbsfittedfiledir" Type="string">""</field>
<field Name="homotopy" Type="string">""</field>
<field Name="tranEnableAll" Type="list">nil</field>
<field Name="approx" Type="string">""</field>
<field Name="simstat" Type="string">""</field>
<field Name="tranSeverity" Type="string">"None"</field>
<field Name="pzFilterExtreme" Type="list">nil</field>
<field Name="nportunusedportrmin" Type="string">""</field>
<field Name="macromodels" Type="string">""</field>
<field Name="nportirfiledir" Type="string">""</field>
<field Name="noiseAddtionalArguments" Type="string">""</field>
<field Name="dcSeverity" Type="string">"None"</field>
<field Name="spFilterNone" Type="list">nil</field>
<field Name="tranDisableAll" Type="list">nil</field>
<field Name="rebuild_matrix" Type="string">""</field>
<field Name="notation" Type="string">""</field>
<field Name="spFilterExtreme" Type="list">nil</field>
<field Name="debug" Type="string">""</field>
<field Name="noiseFilterExtreme" Type="list">nil</field>
<field Name="dc_pivot_check" Type="string">""</field>
<field Name="vabstol" Type="string">"1e-6"</field>
<field Name="nportcompress" Type="string">""</field>
<field Name="info" Type="string">""</field>
<field Name="noiseFilterNone" Type="list">nil</field>
<field Name="dcEnableAll" Type="list">nil</field>
<field Name="iabstol" Type="string">"1e-15"</field>
<field Name="ahdllint_on" Type="string">""</field>
<field Name="rforce" Type="string">"1"</field>
<field Name="warn" Type="string">""</field>
<field Name="maxwarnstologfile" Type="string">""</field>
<field Name="quantities" Type="string">""</field>
<field Name="maxnotestologfile" Type="string">""</field>
<field Name="topcheck" Type="string">""</field>
<field Name="iccheck" Type="string">""</field>
<field Name="ignshorts" Type="string">""</field>
<field Name="redefinedparams" Type="string">""</field>
<field Name="ahdllint" Type="string">""</field>
<field Name="generalnoiseinstonoff" Type="string">""</field>
<field Name="diagnose" Type="string">""</field>
<field Name="gmindc" Type="string">""</field>
<field Name="error" Type="string">""</field>
<field Name="ivth_vdsmin" Type="string">""</field>
<field Name="tmevthmod" Type="string">""</field>
<field Name="maxrsd" Type="string">""</field>
<field Name="printstep" Type="string">""</field>
<field Name="gmin" Type="string">"0"</field>
<field Name="ivthl" Type="string">""</field>
<field Name="gmin_check" Type="string">""</field>
<field Name="ahdllint_maxwarn" Type="string">""</field>
<field Name="checklimitskipfile" Type="string">""</field>
<field Name="maxnotes" Type="string">"5"</field>
<field Name="pzSeverity" Type="string">"None"</field>
<field Name="additionalArgs" Type="string">""</field>
<field Name="maxwarns" Type="string">"5"</field>
<field Name="sensfileonly" Type="string">""</field>
<field Name="pivotdc" Type="string">""</field>
<field Name="spDisableAll" Type="list">nil</field>
<field Name="pzDisableAll" Type="list">nil</field>
<field Name="pivrel" Type="string">"1e-3"</field>
<field Name="pzEnableAll" Type="list">nil</field>
<field Name="pivabs" Type="string">""</field>
<field Name="noiseEnableAll" Type="list">nil</field>
<field Name="opptcheck" Type="string">""</field>
<field Name="checklimitfile" Type="string">""</field>
<field Name="noiseSeverity" Type="string">"None"</field>
<field Name="checklimitdest" Type="string">"psf"</field>
<field Name="audit" Type="string">""</field>
<field Name="inventory" Type="string">""</field>
<field Name="acSeverity" Type="string">"None"</field>
<field Name="sensbinparam" Type="string">""</field>
<field Name="noiseDisableAll" Type="list">nil</field>
<field Name="narrate" Type="string">""</field>
<field Name="dochecklimit" Type="string">""</field>
<field Name="reltol" Type="string">"1e-3"</field>
<field Name="auto_minductor" Type="string">""</field>
<field Name="tranStartTime" Type="string">""</field>
<field Name="useprobes" Type="string">""</field>
<field Name="acEnableAll" Type="list">nil</field>
<field Name="rabsshort" Type="string">""</field>
<field Name="spSeverity" Type="string">"None"</field>
<field Name="checklimitskipsubs" Type="string">""</field>
<field Name="tranStopTime" Type="string">""</field>
<field Name="nportirreuse" Type="string">""</field>
<field Name="acDisableAll" Type="list">nil</field>
<field Name="dcDisableAll" Type="list">nil</field>
<field Name="rthresh" Type="string">""</field>
<field Name="spEnableAll" Type="list">nil</field>
<field Name="scalem" Type="string">"1.0"</field>
<field Name="highvoltage" Type="string">""</field>
<field Name="rabsclamp" Type="string">""</field>
<field Name="cols" Type="string">"80"</field>
<field Name="dcOpSeverity" Type="string">"None"</field>
<field Name="temp" Type="string">"27"</field>
</partition>
</component>
<component Name="subckts" Type="skillTable">subckt
<field Name="subcktKeepList" Type="list">nil</field>
</component>
<component Name="turboOptions" Type="skillTable">asiEnvSaveTable
<partition Name="turboOpts">
<field Name="spectreXAccuracyScale" Type="string">"MX"</field>
<field Name="errorLevel" Type="string">"Do not override"</field>
<field Name="numThreads" Type="string">""</field>
<field Name="dcOPSolver" Type="string">"APS"</field>
<field Name="spectreXEnablePostLayout" Type="list">nil</field>
<field Name="msdcOPSolver" Type="list">nil</field>
<field Name="spectreXMpOption" Type="string">"SSH"</field>
<field Name="psrSwitch" Type="list">nil</field>
<field Name="spectreXMtOption" Type="string">"Auto"</field>
<field Name="virtualPowerNodeValue" Type="list">nil</field>
<field Name="spectreXNumThreads" Type="string">""</field>
<field Name="mtOption" Type="string">"Auto"</field>
<field Name="spectreDecouplingCapacitorThreshold" Type="string">""</field>
<field Name="analogSubcktValue" Type="list">nil</field>
<field Name="presetOverrideText" Type="string">""</field>
<field Name="spectreResistorShortingThreshold" Type="string">""</field>
<field Name="analogInstValue" Type="list">nil</field>
<field Name="rcrNetFmax" Type="list">nil</field>
<field Name="virtualPowerNodeListBox" Type="skillDpl">
<field Name="choices" Type="list">nil</field>
<field Name="value" Type="list">nil</field>
</field>
<field Name="virtualPowerNodes" Type="list">nil</field>
<field Name="psrFmaxRCR" Type="string">""</field>
<field Name="enableXpsms" Type="list">nil</field>
<field Name="virtualGroundNodes" Type="list">nil</field>
<field Name="preserveOption" Type="string">"None"</field>
<field Name="proc_affinity" Type="string">""</field>
<field Name="spectreXMaxPerformance" Type="list">nil</field>
<field Name="apsplus" Type="list">nil</field>
<field Name="presetOverride" Type="list">nil</field>
<field Name="spectreXAdvanced" Type="list">nil</field>
<field Name="enable_proc_affinity" Type="list">nil</field>
<field Name="Savefilter" Type="string">""</field>
<field Name="psrOption" Type="string">"Default"</field>
<field Name="disableVddOverride" Type="symbol">t</field>
<field Name="preserveInst" Type="list">nil</field>
<field Name="uniModeSpectreX" Type="string">"APS"</field>
<field Name="digitalSubcktValue" Type="list">nil</field>
<field Name="spectreXMTPOption" Type="string">"Multi-Threading"</field>
<field Name="cktpreset" Type="string">"None"</field>
<field Name="digitalInstValue" Type="list">nil</field>
<field Name="envSwitch" Type="list">nil</field>
<field Name="uniMode" Type="string">"APS"</field>
<field Name="spectreXPreset" Type="string">"MX"</field>
<field Name="spectreXMpThreads" Type="string">""</field>
<field Name="digitalspeed" Type="string">"2"</field>
<field Name="spectreXHosts" Type="string">""</field>
<field Name="psrXpsmsOption" Type="string">"Default"</field>
<field Name="psrFmax" Type="string">""</field>
<field Name="spectreXEnableLocalizedRC" Type="list">nil</field>
<field Name="optvdd" Type="flonum">3.3</field>
<field Name="spectreXSocketHost" Type="string">"1"</field>
</partition>
</component>
<component Name="variables" Type="skillTable">variableTable
<field Name="saveComponent" Type="skillList">
<field Name="saveComponent_0" Type="defstruct">sevVariableStruct
<field Name="name" Type="string">"I_bias_n"</field>
<field Name="expression" Type="string">"500n"</field>
<field Name="index" Type="fixnum">1</field>
</field>
<field Name="saveComponent_1" Type="defstruct">sevVariableStruct
<field Name="name" Type="string">"I_bias_p"</field>
<field Name="expression" Type="string">"10p"</field>
<field Name="index" Type="fixnum">2</field>
</field>
<field Name="saveComponent_2" Type="defstruct">sevVariableStruct
<field Name="name" Type="string">"V_in_n"</field>
<field Name="expression" Type="string">"0"</field>
<field Name="index" Type="fixnum">3</field>
</field>
<field Name="saveComponent_3" Type="defstruct">sevVariableStruct
<field Name="name" Type="string">"V_in_p"</field>
<field Name="expression" Type="string">"0"</field>
<field Name="index" Type="fixnum">4</field>
</field>
<field Name="saveComponent_4" Type="defstruct">sevVariableStruct
<field Name="name" Type="string">"Vth_n"</field>
<field Name="expression" Type="string">"0.9"</field>
<field Name="index" Type="fixnum">5</field>
</field>
<field Name="saveComponent_5" Type="defstruct">sevVariableStruct
<field Name="name" Type="string">"Vth_p"</field>
<field Name="expression" Type="string">"0.9"</field>
<field Name="index" Type="fixnum">6</field>
</field>
</field>
</component>
</Test>
</statedb>

Binary file not shown.

View File

@ -0,0 +1,311 @@
<?xml version="1.0"?>
<setupdb version="6">maestro
<active>Active Setup
<jobcontrolmode>LSCS</jobcontrolmode>
<corners>
<corner enabled="1">_default</corner>
</corners>
<overwritehistory>0</overwritehistory>
<tests>
<test>CognigrOne_LR3_cDPI_diff_th_tb_1
<tool>ADE</tool>
<tooloptions>
<option>cell
<value>cDPI_diff_th_tb</value>
</option>
<option>lib
<value>CognigrOne_LR3</value>
</option>
<option>path
<value>$AXL_SETUPDB_DIR</value>
</option>
<option>sim
<value>spectre</value>
</option>
<option>view
<value>schematic</value>
</option>
<option>state
<value>active</value>
</option>
</tooloptions>
<origoptions>
<option>cell
<value>cDPI_diff_th_tb</value>
</option>
<option>lib
<value>CognigrOne_LR3</value>
</option>
<option>path
<value>$AXL_SETUPDB_DIR/test_states</value>
</option>
<option>sim
<value>spectre</value>
</option>
<option>view
<value>schematic</value>
</option>
</origoptions>
<vars>
<var>I_bias_n
<value>500n</value>
</var>
<var>I_bias_p
<value>10p</value>
</var>
<var>V_in_n
<value>0</value>
</var>
<var>V_in_p
<value>0</value>
</var>
<var>Vth_n
<value>0.9</value>
</var>
<var>Vth_p
<value>0.9</value>
</var>
</vars>
</test>
</tests>
<extensions>
<extension>Parasitics
<callback>_parSetupDBExtensionCB</callback>
<iconvalue></iconvalue>
<icontype></icontype>
</extension>
</extensions>
<currentmode>Single Run, Sweeps and Corners</currentmode>
<checksasserts netlist="0">
<test netlist="0" netlistscope="all">CognigrOne_LR3_cDPI_diff_th_tb_1</test>
</checksasserts>
<plottingoptions>
<plottingoption>waveformtemplate
<value>(None)</value>
</plottingoption>
<plottingoption>plottingmode
<value>Replace</value>
</plottingoption>
<plottingoption>plottype
<value>None</value>
</plottingoption>
<plottingoption>usewaveformtemplate
<value>no</value>
</plottingoption>
<plottingoption>useMaestroPlottingTemplate
<value>yes</value>
</plottingoption>
<plottingoption>allplottingtemplates
<value></value>
</plottingoption>
<plottingoption>defaultplottingtemplate
<value></value>
</plottingoption>
</plottingoptions>
<exploreroptions>
<exploreroption>waveformtemplate
<value>(None)</value>
</exploreroption>
</exploreroptions>
<incrementalsimsetup>
<useincremental>0</useincremental>
<reusenetlist>0</reusenetlist>
<copyreferenceresults>1</copyreferenceresults>
</incrementalsimsetup>
<overwritehistoryname>ExplorerRun.0</overwritehistoryname>
</active>
<history>History
<historyentry assemblerOrExplorer="explorer" roOrView="view" runningOrFinished="finished">ExplorerRun.0
<checkpoint>
<jobcontrolmode>LSCS</jobcontrolmode>
<corners>
<corner enabled="1">_default</corner>
</corners>
<overwritehistory>0</overwritehistory>
<tests>
<test>CognigrOne_LR3_cDPI_diff_th_tb_1
<tool>ADE</tool>
<tooloptions>
<option>cell
<value>cDPI_diff_th_tb</value>
</option>
<option>lib
<value>CognigrOne_LR3</value>
</option>
<option>path
<value>$AXL_SETUPDB_DIR/test_states</value>
</option>
<option>sim
<value>spectre</value>
</option>
<option>view
<value>schematic</value>
</option>
<option>state
<value>ExplorerRun.0</value>
</option>
</tooloptions>
<origoptions>
<option>cell
<value>cDPI_diff_th_tb</value>
</option>
<option>lib
<value>CognigrOne_LR3</value>
</option>
<option>path
<value>$AXL_SETUPDB_DIR/test_states</value>
</option>
<option>sim
<value>spectre</value>
</option>
<option>view
<value>schematic</value>
</option>
</origoptions>
<vars>
<var>I_bias_n
<value>500n</value>
</var>
<var>I_bias_p
<value>10p</value>
</var>
<var>V_in_n
<value>0</value>
</var>
<var>V_in_p
<value>0</value>
</var>
<var>Vth_n
<value>0.9</value>
</var>
<var>Vth_p
<value>0.9</value>
</var>
</vars>
</test>
</tests>
<extensions>
<extension>Parasitics
<callback>_parSetupDBExtensionCB</callback>
<iconvalue></iconvalue>
<icontype></icontype>
</extension>
</extensions>
<currentmode>Single Run, Sweeps and Corners</currentmode>
<checksasserts netlist="0">
<test netlist="0" netlistscope="all">CognigrOne_LR3_cDPI_diff_th_tb_1</test>
</checksasserts>
<plottingoptions>
<plottingoption>plottype
<value>Auto</value>
</plottingoption>
<plottingoption>autoplotmode
<value>Replace</value>
</plottingoption>
<plottingoption>useMaestroPlottingTemplate
<value>yes</value>
</plottingoption>
<plottingoption>waveformtemplate
<value>(None)</value>
</plottingoption>
<plottingoption>autoplotsignals
<value>yes</value>
</plottingoption>
<plottingoption>autoplotwaveexpr
<value>yes</value>
</plottingoption>
<plottingoption>autoplotscalarexpr
<value>yes</value>
</plottingoption>
<plottingoption>annotatedesignname
<value>yes</value>
</plottingoption>
<plottingoption>annotatesimdate
<value>yes</value>
</plottingoption>
<plottingoption>annotatedesignvars
<value>no</value>
</plottingoption>
<plottingoption>annotatetemperature
<value>no</value>
</plottingoption>
<plottingoption>annotatescalaroutputs
<value>no</value>
</plottingoption>
<plottingoption>annotatespecmarkers
<value>no</value>
</plottingoption>
<plottingoption>directplotmode
<value>Append</value>
</plottingoption>
<plottingoption>directplotafter
<value>All Selections Are Made</value>
</plottingoption>
<plottingoption>histogramtype
<value>pass/fail</value>
</plottingoption>
<plottingoption>histogrambins
<value>10</value>
</plottingoption>
<plottingoption>showhistogramdensity
<value>yes</value>
</plottingoption>
<plottingoption>showhistogramdeviation
<value>yes</value>
</plottingoption>
<plottingoption>showhistogrampercentmarkers
<value>no</value>
</plottingoption>
<plottingoption>histogramqqplot
<value>no</value>
</plottingoption>
<plottingoption>showhistogrampoints
<value>yes</value>
</plottingoption>
<plottingoption>printafter
<value>Each Selection</value>
</plottingoption>
<plottingoption>usewaveformtemplate
<value>no</value>
</plottingoption>
</plottingoptions>
<exploreroptions>
<exploreroption>waveformtemplate
<value>(None)</value>
</exploreroption>
</exploreroptions>
<incrementalsimsetup>
<useincremental>0</useincremental>
<reusenetlist>0</reusenetlist>
<copyreferenceresults>1</copyreferenceresults>
</incrementalsimsetup>
<overwritehistoryname>ExplorerRun.0</overwritehistoryname>
<runnabletests>
<test>CognigrOne_LR3_cDPI_diff_th_tb_1</test>
</runnabletests>
<disabledtests></disabledtests>
<vars></vars>
<allsweepsenabled>1</allsweepsenabled>
<sortVariableValues>0</sortVariableValues>
</checkpoint>
<timestamp>Aug 30 11:03:01 2021</timestamp>
<uuid>{be404433-7f98-43fd-b8ed-67e3cda03289}</uuid>
<resultsname>/home/p302242/libraries/CognigrOne_LR3/CognigrOne_LR3/cDPI_diff_th_tb/maestro/results/maestro/ExplorerRun.0.rdb</resultsname>
<simresults>$AXL_SETUPDB_DIR/results/maestro/ExplorerRun.0.rdb</simresults>
<rawdatadelstrategy>SaveAll
<simdatasavemode>All</simdatasavemode>
</rawdatadelstrategy>
<netlistdelstrategy>SaveAll</netlistdelstrategy>
<uselocalpsfdir>false</uselocalpsfdir>
<localpsfdir>/tmp/bics02.hpc.rug.nl_p302242_110301269</localpsfdir>
<psfdir>/home/p302242/simulation/CognigrOne_LR3/cDPI_diff_th_tb/maestro/results/maestro/ExplorerRun.0</psfdir>
<simdir>$AXL_PROJECT_DIR/CognigrOne_LR3/cDPI_diff_th_tb/maestro/results/maestro/ExplorerRun.0</simdir>
<gendatasheetplotsonsimulation>0</gendatasheetplotsonsimulation>
<loggingdatabasedir>/home/p302242/libraries/CognigrOne_LR3/CognigrOne_LR3/cDPI_diff_th_tb/maestro/results/maestro</loggingdatabasedir>
<runlog>/home/p302242/libraries/CognigrOne_LR3/CognigrOne_LR3/cDPI_diff_th_tb/maestro/results/maestro/ExplorerRun.0.log</runlog>
<runlogfile>$AXL_SETUPDB_DIR/results/maestro/ExplorerRun.0.log</runlogfile>
<schematicpoint></schematicpoint>
<test>all</test>
</historyentry>
</history>
</setupdb>

View File

@ -0,0 +1,2 @@
-- Master.tag File, Rev:1.0
maestro.sdb

View File

@ -0,0 +1,13 @@
Starting Single Run, Sweeps and Corners...
Current time: Mon Aug 30 11:03:01 2021
Best design point: 1
Design specs:
CognigrOne_LR3_cDPI_diff_th_tb_1 corner Nominal -
Design parameters:
ExplorerRun.0
Number of points completed: 1
Number of simulation errors: 0
ExplorerRun.0 completed.
Current time: Mon Aug 30 11:03:08 2021

View File

@ -0,0 +1,992 @@
<?xml version="1.0"?>
<statedb ICVersion="IC6.1.8-64b.500.18" modifiedTime="08/30/2021 11:03:01" version="5">ExplorerRun.0
<Test Name="CognigrOne_LR3_cDPI_diff_th_tb_1">
<component Name="adeInfo" Type="skillTable">adeinfo
<field Name="projectDir" Type="string">"/home/p302242/simulation/CognigrOne_LR3/cDPI_diff_th_tb/maestro/results/maestro/.tmpADEDir_p302242/CognigrOne_LR3_cDPI_diff_th_tb_1/simulation"</field>
<field Name="descriptiontext" Type="string">"None"</field>
<field Name="designInfo" Type="list">("CognigrOne_LR3" "cDPI_diff_th_tb" "schematic" "spectre")</field>
</component>
<component Name="rfstim" Type="skillTable">rfstim</component>
<component Name="analyses" Type="skillTable">asiEnvSaveTable
<analyses Name="analysis">
<analysis Name="tran">
<partition Name="options">
<field Name="additionalOptions" Type="string">""</field>
<field Name="saveChannelOnly" Type="string">"No"</field>
<field Name="boundingBox" Type="string">""</field>
<field Name="maxDevicesInReport" Type="string">""</field>
<field Name="triseTemp" Type="string">"1.0"</field>
<field Name="sortMethod" Type="string">"Temperature Rise"</field>
<field Name="thermalTimeStep" Type="string">"0"</field>
<field Name="iterNum" Type="string">"2"</field>
<field Name="devicesInReport" Type="string">""</field>
<field Name="resTriseRpt" Type="string">"No"</field>
<field Name="triseLimit" Type="string">"300"</field>
<field Name="thermalmethod" Type="string">"Steady State"</field>
<field Name="config" Type="string">""</field>
<field Name="enableThermal" Type="list">nil</field>
<field Name="faultsamplemethod" Type="string">""</field>
<field Name="faultseed" Type="string">""</field>
<field Name="faultsampleratio" Type="string">""</field>
<field Name="faultsamplenum" Type="string">""</field>
<field Name="faultsamplingoption" Type="string">""</field>
<field Name="faultDoSampling" Type="list">nil</field>
<field Name="faultInfoAnalysis" Type="list">nil</field>
<field Name="faultDoNominal" Type="list">nil</field>
<field Name="faultRunCount" Type="string">""</field>
<field Name="faultCurrentRun" Type="string">""</field>
<field Name="faultextraoptions" Type="string">""</field>
<field Name="faultanalysis" Type="string">""</field>
<field Name="faultleadtime" Type="string">""</field>
<field Name="faultmaxiters" Type="string">""</field>
<field Name="faultmethod" Type="string">""</field>
<field Name="faultstop" Type="string">""</field>
<field Name="faultstep" Type="string">""</field>
<field Name="faultstart" Type="string">""</field>
<field Name="faulttimes" Type="string">""</field>
<field Name="faultTimePoints" Type="string">""</field>
<field Name="faultTimePointsMethod" Type="string">""</field>
<field Name="faultOptionsEnabled" Type="list">nil</field>
<field Name="emirfile" Type="string">""</field>
<field Name="emirstop" Type="string">""</field>
<field Name="emirstart" Type="string">""</field>
<field Name="emirformat" Type="string">""</field>
<field Name="additionalParams" Type="string">""</field>
<field Name="sort" Type="string">"name"</field>
<field Name="detail" Type="string">"node"</field>
<field Name="threshold" Type="string">"0.0"</field>
<field Name="timed" Type="list">nil</field>
<field Name="captab" Type="list">nil</field>
<field Name="restart" Type="string">""</field>
<field Name="maxiters" Type="string">"5"</field>
<field Name="acnames" Type="list">nil</field>
<field Name="start" Type="string">""</field>
<field Name="outputstart" Type="string">""</field>
<field Name="step" Type="string">""</field>
<field Name="maxstep" Type="string">""</field>
<field Name="minstep" Type="string">""</field>
<field Name="ic" Type="string">""</field>
<field Name="skipdc" Type="string">""</field>
<field Name="readic" Type="string">""</field>
<field Name="linearic" Type="string">""</field>
<field Name="oscfreq" Type="string">""</field>
<field Name="readns" Type="string">""</field>
<field Name="cmin" Type="string">""</field>
<field Name="write" Type="string">"spectre.ic"</field>
<field Name="writefinal" Type="string">"spectre.fc"</field>
<field Name="saveclock" Type="string">""</field>
<field Name="saveperiod" Type="string">""</field>
<field Name="savetime" Type="list">nil</field>
<field Name="savefile" Type="string">""</field>
<field Name="recover" Type="string">""</field>
<field Name="method" Type="string">""</field>
<field Name="relref" Type="string">""</field>
<field Name="vrefmax" Type="string">""</field>
<field Name="vrefbins" Type="list">nil</field>
<field Name="irefmax" Type="string">""</field>
<field Name="irefbins" Type="list">nil</field>
<field Name="lteratio" Type="string">""</field>
<field Name="annotate" Type="string">"status"</field>
<field Name="annotatedigits" Type="string">"4"</field>
<field Name="save" Type="string">""</field>
<field Name="nestlvl" Type="string">""</field>
<field Name="compression" Type="string">""</field>
<field Name="complvl" Type="string">""</field>
<field Name="compreltol" Type="string">""</field>
<field Name="compvabstol" Type="string">""</field>
<field Name="compiabstol" Type="string">""</field>
<field Name="flushpoints" Type="string">""</field>
<field Name="flushtime" Type="string">""</field>
<field Name="flushofftime" Type="string">""</field>
<field Name="oppoint" Type="string">""</field>
<field Name="skipstart" Type="string">""</field>
<field Name="skipstop" Type="string">""</field>
<field Name="skipcount" Type="string">""</field>
<field Name="strobeperiod" Type="string">""</field>
<field Name="strobeoutput" Type="string">""</field>
<field Name="strobedelay" Type="string">""</field>
<field Name="finalTimeOp" Type="symbol">t</field>
<field Name="FinalOpOtherParameters" Type="string">""</field>
<field Name="infotimes" Type="list">nil</field>
<field Name="infoname" Type="list">nil</field>
<field Name="InfotimesOtherParameters" Type="string">""</field>
<field Name="actimes" Type="list">nil</field>
</partition>
<partition Name="fields">
<field Name="noiseonoff" Type="string">""</field>
<field Name="noiseruns" Type="string">"100"</field>
<field Name="tranNoiseMultiRuns" Type="string">""</field>
<field Name="binnum" Type="string">"16"</field>
<field Name="transtrobe" Type="string">""</field>
<field Name="psdminfreq" Type="string">""</field>
<field Name="enableMinFreq" Type="string">""</field>
<field Name="psdmaxfreq" Type="string">""</field>
<field Name="psdstarttime" Type="string">""</field>
<field Name="enablepsdstarttime" Type="string">""</field>
<field Name="fundFreq" Type="string">""</field>
<field Name="analysisSetting" Type="string">""</field>
<field Name="noiseupdate" Type="string">""</field>
<field Name="noisetmin" Type="string">""</field>
<field Name="noisescale" Type="string">""</field>
<field Name="noiseseed" Type="string">"1"</field>
<field Name="noisefmin" Type="string">""</field>
<field Name="noisefmax" Type="string">""</field>
<field Name="trannoisemethod" Type="string">"default"</field>
<field Name="tranNoise" Type="string">""</field>
<field Name="errpreset" Type="string">""</field>
<field Name="calcStop" Type="string">""</field>
<field Name="stop" Type="string">"1"</field>
<field Name="enable" Type="list">(t)</field>
<field Name="noiseinst" Type="string">""</field>
<field Name="dynParamB" Type="list">nil</field>
<field Name="dynamicParameter" Type="string">"Parameter"</field>
<field Name="dynparam" Type="string">""</field>
<field Name="dynParamChoice" Type="string">"User-defined"</field>
<field Name="dynParamInput" Type="string">""</field>
<field Name="dynParamSetChoice" Type="string">"User-defined"</field>
<field Name="dynParamSetInput" Type="string">""</field>
<field Name="dynchoice" Type="string">"Parameter vector"</field>
<field Name="dynamicChoice" Type="string">"Vector"</field>
<field Name="param_file" Type="string">""</field>
<field Name="dynParamSetParam" Type="list">nil</field>
<field Name="dynHeadListBox" Type="skillDpl">
<field Name="choices" Type="list">("# time value")</field>
<field Name="value" Type="list">nil</field>
</field>
<field Name="dynParamListBox" Type="skillDpl">
<field Name="choices" Type="list">nil</field>
<field Name="value" Type="list">nil</field>
</field>
<field Name="dynParamTab" Type="list">nil</field>
<field Name="dynParamSetTab" Type="list">nil</field>
<field Name="paramTime" Type="string">""</field>
<field Name="paramValue" Type="string">""</field>
<field Name="param_vec" Type="list">nil</field>
<field Name="dynParam" Type="string">""</field>
<field Name="param" Type="string">""</field>
</partition>
</analysis>
</analyses>
</component>
<component Name="convergence" Type="skillTable">sigList
<partition Name="Signallist">
<field Name="initConds" Type="list">((selectionObject probeColor ("y2" "drawing") netProbe (probe:NULL) validSelectionObject t voltage "0.5" fullName "/Vw_out" type net))</field>
<field Name="nodeSets" Type="list">nil</field>
<field Name="forceNodes" Type="list">nil</field>
</partition>
</component>
<component Name="cosimOptions" Type="skillTable">asiEnvSaveTable
<partition Name="cosim">
<field Name="server" Type="string">""</field>
<field Name="serverr" Type="string">"localhost"</field>
<field Name="outputs" Type="list">nil</field>
<field Name="design" Type="string">""</field>
<field Name="port" Type="fixnum">38520</field>
<field Name="matlabStartDir" Type="string">""</field>
<field Name="matlabStartCmd" Type="string">"matlab"</field>
<field Name="whenStartMatlab" Type="string">"no"</field>
<field Name="enable" Type="list">nil</field>
<field Name="timeout" Type="fixnum">60</field>
<field Name="inputs" Type="list">nil</field>
</partition>
</component>
<component Name="devCheckingSetup" Type="skillTable">stateDpl
<field Name="stateDpl" Type="skillDpl">
<field Name="devCheckingEnabled" Type="string">"no"</field>
<field Name="asserts" Type="list">nil</field>
<field Name="inclusiveFilters" Type="list">nil</field>
<field Name="exclusiveFilters" Type="list">nil</field>
<field Name="options" Type="skillDpl">
<field Name="checklimit" Type="skillDpl">
<field Name="pzFilterExtreme" Type="list">nil</field>
<field Name="pzFilterNone" Type="list">nil</field>
<field Name="pzAddtionalArguments" Type="list">nil</field>
<field Name="pzDisableAll" Type="list">nil</field>
<field Name="pzEnableAll" Type="list">nil</field>
<field Name="pzSeverity" Type="string">"None"</field>
<field Name="noiseFilterExtreme" Type="list">nil</field>
<field Name="noiseFilterNone" Type="list">nil</field>
<field Name="noiseAddtionalArguments" Type="list">nil</field>
<field Name="noiseDisableAll" Type="list">nil</field>
<field Name="noiseEnableAll" Type="list">nil</field>
<field Name="noiseSeverity" Type="string">"None"</field>
<field Name="spFilterExtreme" Type="list">nil</field>
<field Name="spFilterNone" Type="list">nil</field>
<field Name="spAddtionalArguments" Type="list">nil</field>
<field Name="spDisableAll" Type="list">nil</field>
<field Name="spEnableAll" Type="list">nil</field>
<field Name="spSeverity" Type="string">"None"</field>
<field Name="acFilterExtreme" Type="list">nil</field>
<field Name="acFilterNone" Type="list">nil</field>
<field Name="acAddtionalArguments" Type="list">nil</field>
<field Name="acDisableAll" Type="list">nil</field>
<field Name="acEnableAll" Type="list">nil</field>
<field Name="acSeverity" Type="string">"None"</field>
<field Name="dcOpFilterExtreme" Type="list">nil</field>
<field Name="dcOpFilterNone" Type="list">nil</field>
<field Name="dcOpAddtionalArguments" Type="list">nil</field>
<field Name="dcOpDisableAll" Type="list">nil</field>
<field Name="dcOpEnableAll" Type="list">nil</field>
<field Name="dcOpSeverity" Type="string">"None"</field>
<field Name="dcFilterExtreme" Type="list">nil</field>
<field Name="dcFilterNone" Type="list">nil</field>
<field Name="dcAddtionalArguments" Type="list">nil</field>
<field Name="dcDisableAll" Type="list">nil</field>
<field Name="dcEnableAll" Type="list">nil</field>
<field Name="dcSeverity" Type="string">"None"</field>
<field Name="tranFilterExtreme" Type="list">nil</field>
<field Name="tranFilterNone" Type="list">nil</field>
<field Name="tranAddtionalArguments" Type="list">nil</field>
<field Name="tranDisableAll" Type="list">nil</field>
<field Name="tranEnableAll" Type="list">nil</field>
<field Name="tranSeverity" Type="string">"None"</field>
<field Name="tranStopTime" Type="list">nil</field>
<field Name="tranStartTime" Type="list">nil</field>
</field>
</field>
</field>
</component>
<component Name="emirOptions" Type="skillTable">asiEnvSaveTable
<partition Name="emirOpts">
<field Name="irIrcxFile" Type="string">""</field>
<field Name="short" Type="string">""</field>
<field Name="spgsAdvIRDrop" Type="list">nil</field>
<field Name="vfiProcess" Type="string">""</field>
<field Name="layerName" Type="string">""</field>
<field Name="dfIILayermapTSMCNoShe" Type="string">""</field>
<field Name="runDirTSMCNoShe" Type="string">""</field>
<field Name="foundry" Type="string">""</field>
<field Name="runDirNoShe" Type="string">""</field>
<field Name="ictFile" Type="string">""</field>
<field Name="netName" Type="string">""</field>
<field Name="staticCurrentFile" Type="string">""</field>
<field Name="staticAnaSummaryBox" Type="list">nil</field>
<field Name="sheLibName" Type="string">""</field>
<field Name="timeWindowListBox" Type="list">nil</field>
<field Name="advancedTableForSHE12LPP" Type="list">nil</field>
<field Name="sheSelfHeatingReports" Type="list">nil</field>
<field Name="summaryListBox" Type="list">nil</field>
<field Name="dfIILayermapGF12LPPShe" Type="string">""</field>
<field Name="shortResLayer" Type="string">"yes"</field>
<field Name="dfIILayermapNoShe" Type="string">""</field>
<field Name="dspfLayermap" Type="string">""</field>
<field Name="sheTileSizeL" Type="string">""</field>
<field Name="layermapTSMCNoShe" Type="string">"Use DFII Layermap"</field>
<field Name="advancedTableForSHE" Type="list">nil</field>
<field Name="sheEmReports" Type="list">nil</field>
<field Name="runNameNoShe" Type="string">""</field>
<field Name="emIrcxFile" Type="string">""</field>
<field Name="spgsFilterMoscap" Type="string">"no"</field>
<field Name="layermapNoShe" Type="string">"Use DFII Layermap"</field>
<field Name="sheViewName" Type="string">""</field>
<field Name="layermapGF12LPPShe" Type="string">"Use DFII Layermap"</field>
<field Name="runDirGF12LPPShe" Type="string">""</field>
<field Name="runDirTSMCShe" Type="string">""</field>
<field Name="autoLoadVfiFile" Type="list">nil</field>
<field Name="runNameGF12LPPShe" Type="string">""</field>
<field Name="solverMethodTable" Type="list">nil</field>
<field Name="editSummaryInfoTable" Type="list">nil</field>
<field Name="sheCellName" Type="string">""</field>
<field Name="solverMethod" Type="string">"Direct"</field>
<field Name="shParamFile" Type="string">""</field>
<field Name="hideAnalysesItems" Type="string">""</field>
<field Name="advancedTableForNoSHE" Type="list">nil</field>
<field Name="qrcTechFile" Type="string">""</field>
<field Name="sheTileSizeR" Type="string">""</field>
<field Name="includeFile" Type="string">""</field>
<field Name="advancedTable" Type="list">nil</field>
<field Name="runNameTSMCNoShe" Type="string">""</field>
<field Name="runNameTSMCShe" Type="string">""</field>
<field Name="enableEMIRAna" Type="list">nil</field>
<field Name="emOnlyIctFile" Type="string">""</field>
<field Name="customizedOptionsListBox" Type="list">nil</field>
<field Name="emDataFile" Type="string">""</field>
<field Name="shEMEffect" Type="string">"beolT"</field>
<field Name="dynamicAnaSummaryBox" Type="list">nil</field>
<field Name="dspfListBox" Type="list">nil</field>
<field Name="anaType" Type="string">"Dynamic"</field>
<field Name="timeWindowMode" Type="string">""</field>
<field Name="mode" Type="string">"No SHE"</field>
<field Name="techFileMode" Type="string">"qrcTechFile with external EM Only ICT File"</field>
</partition>
</component>
<component Name="environmentOptions" Type="skillTable">asiEnvSaveTable
<partition Name="envOpts">
<field Name="extra_port" Type="string">"false"</field>
<field Name="rptOptionToggle" Type="string">""</field>
<field Name="emirEnable_spgs" Type="list">nil</field>
<field Name="other_opts" Type="string">""</field>
<field Name="vcoType" Type="string">""</field>
<field Name="definitionFiles" Type="list">nil</field>
<field Name="userCmdLineOption" Type="string">""</field>
<field Name="enablePhaseNoiseBW" Type="symbol">t</field>
<field Name="termMismatch" Type="string">"default"</field>
<field Name="stimulusFile" Type="list">nil</field>
<field Name="enableNoiseRefactor" Type="symbol">t</field>
<field Name="emirLCVDisable" Type="list">nil</field>
<field Name="pllPlugin" Type="string">""</field>
<field Name="emirEnable" Type="list">nil</field>
<field Name="lastEmAnaType" Type="string">""</field>
<field Name="emirSumList_MacroModel" Type="list">nil</field>
<field Name="stopViewList" Type="list">("spectre")</field>
<field Name="restart" Type="string">""</field>
<field Name="emOnlyICTFile" Type="string">""</field>
<field Name="emirSumList" Type="list">nil</field>
<field Name="licQueueSleep" Type="string">""</field>
<field Name="enableDiagnose" Type="list">nil</field>
<field Name="emLayerMap" Type="string">""</field>
<field Name="port_order" Type="string">"sch"</field>
<field Name="emirSumList_Static" Type="list">nil</field>
<field Name="displayToolTip" Type="symbol">t</field>
<field Name="enableNewMultiHarmCut" Type="list">nil</field>
<field Name="savestate" Type="string">""</field>
<field Name="emirSumList_Dynamic" Type="list">nil</field>
<field Name="cmd64bit" Type="list">nil</field>
<field Name="emTechFile" Type="string">""</field>
<field Name="printComments" Type="list">(nil nil)</field>
<field Name="bus_delimiter" Type="string">""</field>
<field Name="pllWizardinfo" Type="string">""</field>
<field Name="autoDisplay" Type="symbol">t</field>
<field Name="enableArclength" Type="list">nil</field>
<field Name="switchViewList" Type="list">("spectre" "cmos_sch" "cmos.sch" "schematic" "veriloga")</field>
<field Name="setEngNotation" Type="list">nil</field>
<field Name="setTopLevelAsSubckt" Type="list">nil</field>
<field Name="termDirectionMismatch" Type="string">"default"</field>
<field Name="cpfIsVMSEnabled" Type="symbol">t</field>
<field Name="case_sensitive" Type="string">"false"</field>
<field Name="analysisOrder" Type="list">("pz" "dcmatch" "stb" "tran" "envlp" "ac" "dc" "lf" "noise" "xf" "sp" "pss" "pac" "pstb" "pnoise" "pxf" "psp" "qpss" "qpac" "qpnoise" "qpxf" "qpsp" "hb" "hbac" "hbstb" "hbnoise" "hbxf" "sens" "acmatch")</field>
<field Name="macroModelEnable" Type="list">nil</field>
<field Name="macroModelList" Type="list">nil</field>
<field Name="firstRun" Type="symbol">t</field>
<field Name="paramRangeCheckFile" Type="string">""</field>
<field Name="liclog" Type="list">nil</field>
<field Name="emirSumList_SPGS" Type="list">nil</field>
<field Name="emirDSPF" Type="list">nil</field>
<field Name="mapFirstSubnodeForNet" Type="list">nil</field>
<field Name="emirEnable_static" Type="list">nil</field>
<field Name="licQueueTimeOut" Type="string">"900"</field>
<field Name="poloNameMapDBDir" Type="string">""</field>
<field Name="sst2usecolon" Type="list">nil</field>
<field Name="preserveSubcktTermNamesByOrder" Type="list">nil</field>
<field Name="emAnaType" Type="string">"Dynamic"</field>
<field Name="dspfFile" Type="list">nil</field>
<field Name="lsusp" Type="list">nil</field>
</partition>
</component>
<component Name="faultRules" Type="skillTable">faultRules
<field Name="faultRules" Type="list">nil</field>
</component>
<component Name="faults" Type="skillTable">faults
<field Name="faults" Type="list">nil</field>
</component>
<component Name="graphicalStimuli" Type="skillTable">graphicalStimTable
<field Name="GLOBALS" Type="list">(nil)</field>
<field Name="INPUTS" Type="list">(nil)</field>
<field Name="HISTORY_NAMED_STIMULI" Type="list">(nil)</field>
</component>
<component Name="mdlOptions" Type="skillTable">asiEnvSaveTable
<partition Name="mdlOpts">
<field Name="engFormat" Type="fixnum">6</field>
<field Name="cellName" Type="string">""</field>
<field Name="additionals" Type="string">""</field>
<field Name="mdlFrom" Type="string">"From File"</field>
<field Name="formatType" Type="string">"Default"</field>
<field Name="viewName" Type="string">""</field>
<field Name="enable" Type="list">nil</field>
<field Name="libName" Type="string">""</field>
<field Name="mdlFile" Type="string">""</field>
<field Name="precFormat" Type="string">"%.15g"</field>
</partition>
</component>
<component Name="modelSetup" Type="skillTable">modelSetup
<field Name="modelFiles" Type="list">(("/projects/bics/designkits/xfab/xp018/cadence/v6_1/spectre/v6_1_6/lp5mos/config.scs" "default") ("/projects/bics/designkits/xfab/xp018/cadence/v6_1/spectre/v6_1_6/lp5mos/param.scs" "3s") ("/projects/bics/designkits/xfab/xp018/cadence/v6_1/spectre/v6_1_6/lp5mos/bip.scs" "tm") ("/projects/bics/designkits/xfab/xp018/cadence/v6_1/spectre/v6_1_6/lp5mos/cap.scs" "tm") ("/projects/bics/designkits/xfab/xp018/cadence/v6_1/spectre/v6_1_6/lp5mos/dio.scs" "tm") ("/projects/bics/designkits/xfab/xp018/cadence/v6_1/spectre/v6_1_6/lp5mos/mos.scs" "tm") ("/projects/bics/designkits/xfab/xp018/cadence/v6_1/spectre/v6_1_6/lp5mos/res.scs" "tm") ("# /projects/bics/designkits/xfab/xp018/cadence/v6_1/spectre/v6_1_6/lp5mos/xp018.scs" "mc_g"))</field>
</component>
<component Name="mtsSetup" Type="skillTable">mtsSetup
<field Name="mtsOptions" Type="list">nil</field>
<field Name="mtsMode" Type="list">nil</field>
</component>
<component Name="opPoints" Type="skillTable">opPoints
<field Name="opPoints" Type="list">nil</field>
</component>
<component Name="otherInfo" Type="skillTable">otherInfo</component>
<component Name="outputs" Type="skillTable">outputs
<partition Name="outputsCommon">
<partition Name="envSaveOptions">
<field Name="keepRegPcellLabel" Type="string">""</field>
<field Name="keepRegisteredPcell" Type="symbol">t</field>
<field Name="clearPcell" Type="string">""</field>
<field Name="savePcellSelect" Type="string">""</field>
<field Name="savePcell" Type="string">""</field>
<field Name="subcktoppoint" Type="list">nil</field>
<field Name="pcellFrame" Type="string">""</field>
<field Name="saveBySubcktInstances" Type="list">(("&lt;Click_here_to_add_an_Instance&gt;" "" "" "" "" "" "" "" "all" "" ""))</field>
<field Name="saveBySubcktFrame" Type="string">""</field>
<field Name="allcapInfo" Type="list">nil</field>
<field Name="extremesInfo" Type="list">nil</field>
<field Name="assertsInfo" Type="list">nil</field>
<field Name="designParamValInfo" Type="symbol">t</field>
<field Name="subcktsInfo" Type="symbol">t</field>
<field Name="primitivesInfo" Type="symbol">t</field>
<field Name="outputParamInfo" Type="symbol">t</field>
<field Name="elementInfo" Type="symbol">t</field>
<field Name="saveFrame" Type="string">""</field>
<field Name="save" Type="string">"allpub"</field>
<field Name="pwr" Type="string">""</field>
<field Name="nestlvl" Type="string">""</field>
<field Name="currents" Type="string">""</field>
<field Name="useprobes" Type="string">""</field>
<field Name="subcktprobelvl" Type="string">""</field>
<field Name="saveahdlvars" Type="string">""</field>
<field Name="timewindFrame" Type="string">""</field>
<field Name="enableTimeWindowSetup" Type="list">nil</field>
<field Name="timeWindLabel" Type="string">""</field>
<field Name="timeWindow" Type="string">""</field>
<field Name="signalNames" Type="string">""</field>
<field Name="time_window_a" Type="string">""</field>
<field Name="sumInfo_a" Type="string">""</field>
<field Name="infoFrame" Type="string">""</field>
<field Name="infoOptions" Type="list">(("modelParameter" "models" "rawfile" "" "" "" t) ("element" "inst" "rawfile" "" "" "" t) ("outputParameter" "output" "rawfile" "" "" "" t) ("designParamVals" "parameters" "rawfile" "" "" "" t) ("primitives" "primitives" "rawfile" "" "" "" t) ("subckts" "subckts" "rawfile" "" "" "" t) ("asserts" "assert" "rawfile" "" "" "" nil) ("extremeinfo" "all" "logfile" "" "yes" "" nil) ("allcap" "allcap" "file" "" "" "" nil) ("&lt;Click_To_Add&gt;" "none" "rawfile" "" "" "" nil))</field>
<field Name="captab_detail" Type="string">"node"</field>
<field Name="captab_sort" Type="string">"name"</field>
<field Name="captab_threshold" Type="string">""</field>
<field Name="outputFrame" Type="string">""</field>
<field Name="simOutputFormat" Type="string">"psfxl"</field>
<field Name="fastViewOption" Type="list">nil</field>
<field Name="modelParamInfo" Type="symbol">t</field>
</partition>
<field Name="outputList" Type="skillList">
<field Name="outputList_0" Type="defstruct">sevOutputStruct
<field Name="name" Type="list">nil</field>
<field Name="expression" Type="list">nil</field>
<field Name="signal" Type="string">"/Vw_out"</field>
<field Name="scriptFile" Type="list">nil</field>
<field Name="type2" Type="list">nil</field>
<field Name="evalType" Type="list">nil</field>
<field Name="displayIt" Type="list">nil</field>
<field Name="internalName" Type="list">nil</field>
<field Name="masterName" Type="list">nil</field>
<field Name="masterScriptFile" Type="list">nil</field>
<field Name="saveScriptFile" Type="list">nil</field>
<field Name="saveMasterScriptFile" Type="list">nil</field>
<field Name="isReferenced" Type="list">nil</field>
<field Name="lastEvalTime" Type="list">nil</field>
<field Name="origin" Type="list">nil</field>
<field Name="sweepSet" Type="list">nil</field>
<field Name="depList" Type="list">nil</field>
<field Name="type" Type="symbol">net</field>
<field Name="areaTable" Type="list">nil</field>
<field Name="selectionDetail" Type="list">((color ("y4" "drawing")))</field>
<field Name="value" Type="list">nil</field>
<field Name="plot" Type="symbol">t</field>
<field Name="save" Type="symbol">t</field>
<field Name="march" Type="list">nil</field>
<field Name="implicit" Type="list">nil</field>
<field Name="index" Type="fixnum">1</field>
<field Name="timeStamp" Type="list">nil</field>
<field Name="graphType" Type="list">nil</field>
<field Name="yaxisLabel" Type="list">nil</field>
<field Name="label" Type="list">nil</field>
<field Name="yaxisUnit" Type="list">nil</field>
<field Name="waveSpec" Type="list">nil</field>
<field Name="uniqueName" Type="string">"/Vw_out"</field>
<field Name="inValidObject" Type="list">nil</field>
<field Name="inLastSet" Type="list">nil</field>
</field>
</field>
</partition>
<partition Name="outputsScripts">
<field Name="outputList" Type="list">nil</field>
</partition>
<partition Name="outputsAreaGoal">
<field Name="areaGoal" Type="list">nil</field>
</partition>
<partition Name="outputsOpRegion">
<field Name="opRegion" Type="list">nil</field>
</partition>
</component>
<component Name="paramSetup" Type="skillTable">expr</component>
<component Name="relxOptions" Type="skillTable">asiEnvSaveTable
<partition Name="relxOpts">
<field Name="displayOMIGui" Type="list">nil</field>
<field Name="electroParamTypeAgeMos" Type="string">"Delta Idsat"</field>
<field Name="mosDevDegVbconst_n" Type="string">""</field>
<field Name="mosDeltadIdlin" Type="string">"0.1"</field>
<field Name="gaAlterParamListBox" Type="list">nil</field>
<field Name="mosCalcLifetime" Type="list">nil</field>
<field Name="degdatatype" Type="string">"appendage"</field>
<field Name="displayRelxpertGui" Type="list">nil</field>
<field Name="combinedeg" Type="string">"off"</field>
<field Name="gaMode" Type="string">"Age points"</field>
<field Name="gaAlterHeadListBox" Type="list">nil</field>
<field Name="ageMultiMode" Type="string">"AgeMOS(Spectre Internal)"</field>
<field Name="mosDeltad" Type="string">"0.1"</field>
<field Name="enableTMI" Type="list">nil</field>
<field Name="mosDevDegVsconstModels" Type="string">""</field>
<field Name="ageOMIModelType" Type="string">"AgeMOS(Spectre Internal)"</field>
<field Name="enableDegSort" Type="list">nil</field>
<field Name="mosDevDegVthUseIs" Type="list">nil</field>
<field Name="uriLibs" Type="string">""</field>
<field Name="mosEffectiveModel" Type="symbol">t</field>
<field Name="mosDevDegIdconst" Type="string">""</field>
<field Name="mosAgeDomain" Type="string">"loglog"</field>
<field Name="uriMode" Type="string">"agemos"</field>
<field Name="limitDegradation" Type="string">"Real"</field>
<field Name="tmiBTI" Type="string">""</field>
<field Name="enableStress" Type="list">nil</field>
<field Name="reportSubcktDegradation" Type="list">nil</field>
<field Name="mosAgingTime" Type="string">"10"</field>
<field Name="scaleMode" Type="string">"Original"</field>
<field Name="cycleTime" Type="string">""</field>
<field Name="enableOutputSubcktDegInFile" Type="string">"GUI"</field>
<field Name="showOutputModelName" Type="list">nil</field>
<field Name="temp" Type="string">""</field>
<field Name="mosDevDegVgsweep_end_pModels" Type="string">""</field>
<field Name="tmiPHCI" Type="string">""</field>
<field Name="uriDebugMode" Type="string">"0"</field>
<field Name="standardAgeType" Type="string">"Age points"</field>
<field Name="mosDevDegVdconst" Type="string">""</field>
<field Name="mosAgeMethod" Type="string">"agemos"</field>
<field Name="mosDeltadVtlin" Type="string">"0.1"</field>
<field Name="degSortElectroParam" Type="string">"TMI Default"</field>
<field Name="mosModifyNetlist" Type="list">nil</field>
<field Name="mosDevDegVgstep" Type="string">""</field>
<field Name="mosSubcktInSch" Type="string">""</field>
<field Name="mosAgingTimeUnit" Type="string">"Years"</field>
<field Name="mosDevDegVthConstCurrSp" Type="list">nil</field>
<field Name="showDegHideAgeLevel" Type="string">""</field>
<field Name="mosAgeModelLimitNum" Type="string">"10"</field>
<field Name="mosDeltadIdsat" Type="string">"0.1"</field>
<field Name="mosDevDegVgsweep_end_p" Type="string">""</field>
<field Name="gaSaveResults" Type="string">"all"</field>
<field Name="mosDevDegVthUseId" Type="list">nil</field>
<field Name="gaPassParam" Type="string">"no"</field>
<field Name="includeFiles" Type="string">""</field>
<field Name="aged_data_dir" Type="string">""</field>
<field Name="tmiPBTI" Type="string">""</field>
<field Name="relusercmdlineoption" Type="string">""</field>
<field Name="enabledegdata" Type="list">nil</field>
<field Name="stress_var_list" Type="list">nil</field>
<field Name="electroParamType" Type="string">"TMI Default"</field>
<field Name="presetBlock" Type="string">""</field>
<field Name="checknegagingtype" Type="string">"error"</field>
<field Name="mosDevDegIdconstwl" Type="string">""</field>
<field Name="mosModelsInSch" Type="string">""</field>
<field Name="mosMaskDevInstance" Type="string">""</field>
<field Name="gaAgePoints" Type="string">"10"</field>
<field Name="stress_data_dir" Type="string">""</field>
<field Name="saAgeStepOrTotalStep" Type="string">""</field>
<field Name="degSortThreshold" Type="string">""</field>
<field Name="relxTranStart" Type="string">""</field>
<field Name="mosDevDegVgsweep_start_pModels" Type="string">""</field>
<field Name="tmiNBTI" Type="string">""</field>
<field Name="anaModeClone" Type="string">"Reliability"</field>
<field Name="gaAgeStepTotalStep" Type="string">""</field>
<field Name="mosMaskDev" Type="list">nil</field>
<field Name="mosDevDegVdconstwl" Type="string">""</field>
<field Name="mosDevDegVdd" Type="string">""</field>
<field Name="mosMaskSubckt" Type="string">""</field>
<field Name="mosDevDegVgsweep_start_p" Type="string">""</field>
<field Name="mosAgeModelLimit" Type="list">nil</field>
<field Name="fresh_data_dir" Type="string">""</field>
<field Name="mosDevDegVdlinModels" Type="string">""</field>
<field Name="checknegagingclamp" Type="string">"no"</field>
<field Name="degSortType" Type="string">"threshold"</field>
<field Name="degSortAgeLevel" Type="string">"TMI Default"</field>
<field Name="mosAgeModelFilePath" Type="string">""</field>
<field Name="relxTranStop" Type="string">""</field>
<field Name="btiRecovery" Type="list">nil</field>
<field Name="degSortMaxDevices" Type="string">""</field>
<field Name="gaAgeStepStop" Type="string">""</field>
<field Name="doADELPostProcess" Type="symbol">t</field>
<field Name="mosDevDegVgsweep_end_nModels" Type="string">""</field>
<field Name="gaDynamicTable" Type="list">nil</field>
<field Name="mosEnableOutputDevDeg" Type="list">nil</field>
<field Name="otherTMIRXOptions" Type="string">""</field>
<field Name="gaAgeStepStart" Type="string">""</field>
<field Name="mosDevDegVgsweep_end_n" Type="string">""</field>
<field Name="ageModelType" Type="string">"AgeMOS(Spectre Internal)"</field>
<field Name="enableRelXTran" Type="list">nil</field>
<field Name="uriAppendtype" Type="string">"none"</field>
<field Name="mosOutputBiasVoltage" Type="list">nil</field>
<field Name="enableAging" Type="list">nil</field>
<field Name="mosDevDegVdlin" Type="string">""</field>
<field Name="mosHciLifeTimeMethod" Type="string">"calculate"</field>
<field Name="mosMinAge" Type="string">""</field>
<field Name="outputSubcktDegVdlin" Type="string">""</field>
<field Name="mosDumpAgeModel" Type="list">nil</field>
<field Name="degSortValue" Type="string">""</field>
<field Name="anaMode" Type="string">"Reliability"</field>
<field Name="saStepType" Type="string">"Total steps"</field>
<field Name="mosHciOpMethod" Type="string">"calculate"</field>
<field Name="outputSubcktDegVglin" Type="string">""</field>
<field Name="gaDynamicParam" Type="list">nil</field>
<field Name="gaMultiPlayBack" Type="list">nil</field>
<field Name="presetValue" Type="string">""</field>
<field Name="mosDevDegSimulatorPath" Type="string">""</field>
<field Name="outputSubcktDegVglinSubckts" Type="string">""</field>
<field Name="mosDevDegVdsatModels" Type="string">""</field>
<field Name="mosCompactAgeModel" Type="list">nil</field>
<field Name="enableOutputDevDegInFile" Type="string">"GUI"</field>
<field Name="mosDevDegNeedSimulator" Type="list">nil</field>
<field Name="enableAgingForTMI" Type="symbol">t</field>
<field Name="gaAgeStepType" Type="string">"lin"</field>
<field Name="mosDevDegVdsat" Type="string">""</field>
<field Name="mosAppendDeviceAge" Type="list">nil</field>
<field Name="mosHciLifeTimeMethodTable" Type="string">""</field>
<field Name="tmiNHCI" Type="string">""</field>
<field Name="tmiAgingType" Type="string">"TMI Aging"</field>
<field Name="presetType" Type="string">"lifetime"</field>
<field Name="outputSubcktDegVddSubckts" Type="string">""</field>
<field Name="enableDegRatio" Type="list">nil</field>
<field Name="gaEarlyExit" Type="symbol">t</field>
<field Name="outputSubcktDegVdlinSubckts" Type="string">""</field>
<field Name="tmiHCI" Type="string">""</field>
<field Name="gaSkipLast" Type="list">nil</field>
<field Name="gaInputStressDir" Type="string">""</field>
<field Name="enableSelfheating" Type="list">nil</field>
<field Name="otherRXOptions" Type="string">""</field>
<field Name="ageLevel" Type="string">"All"</field>
<field Name="enableRelxpert" Type="list">nil</field>
<field Name="mosHciAccuracy" Type="string">"liberal (1)"</field>
<field Name="mosDevDegVbconst_p" Type="string">""</field>
<field Name="mindtemp" Type="string">""</field>
<field Name="gaAgeStepUnit" Type="string">"Years"</field>
<field Name="outputSubcktDegVdd" Type="string">""</field>
<field Name="compactagednetlist" Type="string">"none"</field>
<field Name="gaSkipFresh" Type="list">nil</field>
<field Name="mosDevDegVglinModels" Type="string">""</field>
<field Name="gaAlterParam" Type="string">""</field>
<field Name="mosVthMethod" Type="string">"calculate"</field>
<field Name="gaAlterTime" Type="string">""</field>
<field Name="mosHciIgateMethod" Type="string">"calculate"</field>
<field Name="presetAgeLevel" Type="string">""</field>
<field Name="outputSubcktDegVgsatSubckts" Type="string">""</field>
<field Name="enableAgeMosTMI" Type="list">nil</field>
<field Name="mosAgeModelingEffect" Type="string">"Include"</field>
<field Name="gaAgePointsUnit" Type="string">"Years"</field>
<field Name="mosDevDegVbconst_nModels" Type="string">""</field>
<field Name="mosHciIdMethod" Type="string">"ids"</field>
<field Name="outputSubcktDegVgsat" Type="string">""</field>
<field Name="showunageddevices" Type="string">"limit"</field>
<field Name="mosDevDegVglin" Type="string">""</field>
<field Name="displayTMIGui" Type="list">nil</field>
<field Name="mosDevDegVgsweep_start_nModels" Type="string">""</field>
<field Name="mcMode" Type="string">"singlestress"</field>
<field Name="mosDevDegVddSetting" Type="list">nil</field>
<field Name="reportModelParamChanged" Type="list">nil</field>
<field Name="mosMode" Type="list">(t t t)</field>
<field Name="mosDevDegVgsweep_start_n" Type="string">""</field>
<field Name="tmiMosMode" Type="list">(t t)</field>
<field Name="mosDevDegModels" Type="string">""</field>
<field Name="outputSubcktDegSubNodes" Type="string">""</field>
<field Name="enableGradualAging" Type="list">nil</field>
<field Name="simModeFile" Type="string">"input.bs0"</field>
<field Name="outputSubcktDegSubNames" Type="string">""</field>
<field Name="mosMaskModels" Type="string">""</field>
<field Name="mosMaskDevInclude" Type="string">"include"</field>
<field Name="gaAlterValue" Type="string">""</field>
<field Name="agingPointsType" Type="string">"Standard aging"</field>
<field Name="relxpertExecName" Type="string">"relxpert"</field>
<field Name="agingVariation" Type="list">nil</field>
<field Name="degRatioDevInclude" Type="string">"include"</field>
<field Name="displayTMIRTSHEGui" Type="list">nil</field>
<field Name="gaIterationNum" Type="string">""</field>
<field Name="mosDevDegVbconst_pModels" Type="string">""</field>
<field Name="mosOutputSubcktDegInFilePath" Type="string">""</field>
<field Name="simModeType" Type="string">"all"</field>
<field Name="enableEMIROnStress" Type="list">nil</field>
<field Name="degCheckException" Type="string">""</field>
<field Name="mosDevDegVsconst" Type="string">""</field>
<field Name="outputSubcktDegIvth" Type="string">""</field>
<field Name="addAdditionalArgumentForTMI" Type="list">nil</field>
<field Name="outputmethod" Type="string">"single"</field>
<field Name="aged_var_list" Type="list">nil</field>
<field Name="mosDevDegVgsatModels" Type="string">""</field>
<field Name="outputSubcktDegIvthSubckts" Type="string">""</field>
<field Name="degRatioDevInstance" Type="string">""</field>
<field Name="outputSubcktDegIvthn" Type="string">""</field>
<field Name="gaSaveSome" Type="string">""</field>
<field Name="enablePreset" Type="list">nil</field>
<field Name="enableAgeMOS" Type="symbol">t</field>
<field Name="mosOutputDevDegInFilePath" Type="string">""</field>
<field Name="nativeMode" Type="string">"Spectre native"</field>
<field Name="removeTMIAgeSettingInFresh" Type="list">nil</field>
<field Name="mosDevDegVgstepModels" Type="string">""</field>
<field Name="outputSubcktDegIvthp" Type="string">""</field>
<field Name="mosAgeModelLimitType" Type="string">"age"</field>
<field Name="mosDevDegVgsat" Type="string">""</field>
</partition>
</component>
<component Name="simulationFiles" Type="skillTable">_simulationFiles
<field Name="pspiceFile" Type="list">nil</field>
<field Name="allDspfFiles" Type="list">nil</field>
<field Name="allEVcdFiles" Type="list">nil</field>
<field Name="VcdInfoFile" Type="string">""</field>
<field Name="allDefinitionFiles" Type="list">nil</field>
<field Name="allIncludedPaths" Type="list">nil</field>
<field Name="allVectorFiles" Type="list">nil</field>
<field Name="EVcdInfoFile" Type="string">""</field>
<field Name="stimulusFile" Type="list">nil</field>
<field Name="dspfFile" Type="list">nil</field>
<field Name="allPspiceFiles" Type="list">nil</field>
<field Name="spefFile" Type="list">nil</field>
<field Name="VcdFile" Type="string">""</field>
<field Name="VectorFile" Type="list">nil</field>
<field Name="allSpefFiles" Type="list">nil</field>
<field Name="hlcheck" Type="string">"off"</field>
<field Name="EVcdFile" Type="string">""</field>
<field Name="allVcdFiles" Type="list">nil</field>
<field Name="definitionFiles" Type="list">nil</field>
<field Name="allStimulusFiles" Type="list">nil</field>
<field Name="includePath" Type="string">""</field>
</component>
<component Name="simulatorOptions" Type="skillTable">asiEnvSaveTable
<partition Name="opts">
<field Name="value1" Type="string">""</field>
<field Name="scale" Type="string">"1.0"</field>
<field Name="convdbg" Type="string">""</field>
<field Name="preorder" Type="string">""</field>
<field Name="colslog" Type="string">""</field>
<field Name="generalnoiseinst" Type="list">nil</field>
<field Name="senstype" Type="string">""</field>
<field Name="digits" Type="string">"5"</field>
<field Name="limit" Type="string">""</field>
<field Name="multithread" Type="string">""</field>
<field Name="sensformat" Type="string">""</field>
<field Name="iccapcheck" Type="string">""</field>
<field Name="tranFilterNone" Type="list">nil</field>
<field Name="tranAddtionalArguments" Type="string">""</field>
<field Name="nthreads" Type="string">""</field>
<field Name="dcAddtionalArguments" Type="string">""</field>
<field Name="scalefactor" Type="string">""</field>
<field Name="tranFilterExtreme" Type="list">nil</field>
<field Name="vdsatmod" Type="string">""</field>
<field Name="dptran_gmethod" Type="string">""</field>
<field Name="flow" Type="string">""</field>
<field Name="vthmod" Type="string">""</field>
<field Name="ivthn" Type="string">""</field>
<field Name="nportbbsversion" Type="string">""</field>
<field Name="try_fast_op" Type="string">""</field>
<field Name="acFilterNone" Type="list">nil</field>
<field Name="ivthp" Type="string">""</field>
<field Name="ivthw" Type="string">""</field>
<field Name="acAddtionalArguments" Type="string">""</field>
<field Name="spAddtionalArguments" Type="string">""</field>
<field Name="note" Type="string">""</field>
<field Name="gmethod" Type="string">""</field>
<field Name="acFilterExtreme" Type="list">nil</field>
<field Name="residualtol" Type="string">""</field>
<field Name="dcFilterExtreme" Type="list">nil</field>
<field Name="dcOpAddtionalArguments" Type="string">""</field>
<field Name="icpriority" Type="string">""</field>
<field Name="dcFilterNone" Type="list">nil</field>
<field Name="noiseOffType" Type="list">(nil nil nil nil nil)</field>
<field Name="title" Type="string">""</field>
<field Name="nport_default_interp" Type="string">""</field>
<field Name="minr" Type="string">""</field>
<field Name="dcOpFilterExtreme" Type="list">nil</field>
<field Name="sensfile" Type="string">"../psf/sens.output"</field>
<field Name="nonconv_topnum" Type="string">""</field>
<field Name="dcOpFilterNone" Type="list">nil</field>
<field Name="noiseOnType" Type="list">(nil nil nil nil nil)</field>
<field Name="nport_default_passivity" Type="string">""</field>
<field Name="tnom" Type="string">"27"</field>
<field Name="dcOpDisableAll" Type="list">nil</field>
<field Name="pzFilterNone" Type="list">nil</field>
<field Name="nportunusedportgmin" Type="string">""</field>
<field Name="dcOpEnableAll" Type="list">nil</field>
<field Name="tempeffects" Type="string">""</field>
<field Name="pzAddtionalArguments" Type="string">""</field>
<field Name="nportcompressfiledir" Type="string">""</field>
<field Name="tranCheckWindows" Type="string">""</field>
<field Name="nportbbsfittedfiledir" Type="string">""</field>
<field Name="homotopy" Type="string">""</field>
<field Name="tranEnableAll" Type="list">nil</field>
<field Name="approx" Type="string">""</field>
<field Name="simstat" Type="string">""</field>
<field Name="tranSeverity" Type="string">"None"</field>
<field Name="pzFilterExtreme" Type="list">nil</field>
<field Name="nportunusedportrmin" Type="string">""</field>
<field Name="macromodels" Type="string">""</field>
<field Name="nportirfiledir" Type="string">""</field>
<field Name="noiseAddtionalArguments" Type="string">""</field>
<field Name="dcSeverity" Type="string">"None"</field>
<field Name="spFilterNone" Type="list">nil</field>
<field Name="tranDisableAll" Type="list">nil</field>
<field Name="rebuild_matrix" Type="string">""</field>
<field Name="notation" Type="string">""</field>
<field Name="spFilterExtreme" Type="list">nil</field>
<field Name="debug" Type="string">""</field>
<field Name="noiseFilterExtreme" Type="list">nil</field>
<field Name="dc_pivot_check" Type="string">""</field>
<field Name="vabstol" Type="string">"1e-6"</field>
<field Name="nportcompress" Type="string">""</field>
<field Name="info" Type="string">""</field>
<field Name="noiseFilterNone" Type="list">nil</field>
<field Name="dcEnableAll" Type="list">nil</field>
<field Name="iabstol" Type="string">"1e-15"</field>
<field Name="ahdllint_on" Type="string">""</field>
<field Name="rforce" Type="string">"1"</field>
<field Name="warn" Type="string">""</field>
<field Name="maxwarnstologfile" Type="string">""</field>
<field Name="quantities" Type="string">""</field>
<field Name="maxnotestologfile" Type="string">""</field>
<field Name="topcheck" Type="string">""</field>
<field Name="iccheck" Type="string">""</field>
<field Name="ignshorts" Type="string">""</field>
<field Name="redefinedparams" Type="string">""</field>
<field Name="ahdllint" Type="string">""</field>
<field Name="generalnoiseinstonoff" Type="string">""</field>
<field Name="diagnose" Type="string">""</field>
<field Name="gmindc" Type="string">""</field>
<field Name="error" Type="string">""</field>
<field Name="ivth_vdsmin" Type="string">""</field>
<field Name="tmevthmod" Type="string">""</field>
<field Name="maxrsd" Type="string">""</field>
<field Name="printstep" Type="string">""</field>
<field Name="gmin" Type="string">"0"</field>
<field Name="ivthl" Type="string">""</field>
<field Name="gmin_check" Type="string">""</field>
<field Name="ahdllint_maxwarn" Type="string">""</field>
<field Name="checklimitskipfile" Type="string">""</field>
<field Name="maxnotes" Type="string">"5"</field>
<field Name="pzSeverity" Type="string">"None"</field>
<field Name="additionalArgs" Type="string">""</field>
<field Name="maxwarns" Type="string">"5"</field>
<field Name="sensfileonly" Type="string">""</field>
<field Name="pivotdc" Type="string">""</field>
<field Name="spDisableAll" Type="list">nil</field>
<field Name="pzDisableAll" Type="list">nil</field>
<field Name="pivrel" Type="string">"1e-3"</field>
<field Name="pzEnableAll" Type="list">nil</field>
<field Name="pivabs" Type="string">""</field>
<field Name="noiseEnableAll" Type="list">nil</field>
<field Name="opptcheck" Type="string">""</field>
<field Name="checklimitfile" Type="string">""</field>
<field Name="noiseSeverity" Type="string">"None"</field>
<field Name="checklimitdest" Type="string">"psf"</field>
<field Name="audit" Type="string">""</field>
<field Name="inventory" Type="string">""</field>
<field Name="acSeverity" Type="string">"None"</field>
<field Name="sensbinparam" Type="string">""</field>
<field Name="noiseDisableAll" Type="list">nil</field>
<field Name="narrate" Type="string">""</field>
<field Name="dochecklimit" Type="string">""</field>
<field Name="reltol" Type="string">"1e-3"</field>
<field Name="auto_minductor" Type="string">""</field>
<field Name="tranStartTime" Type="string">""</field>
<field Name="useprobes" Type="string">""</field>
<field Name="acEnableAll" Type="list">nil</field>
<field Name="rabsshort" Type="string">""</field>
<field Name="spSeverity" Type="string">"None"</field>
<field Name="checklimitskipsubs" Type="string">""</field>
<field Name="tranStopTime" Type="string">""</field>
<field Name="nportirreuse" Type="string">""</field>
<field Name="acDisableAll" Type="list">nil</field>
<field Name="dcDisableAll" Type="list">nil</field>
<field Name="rthresh" Type="string">""</field>
<field Name="spEnableAll" Type="list">nil</field>
<field Name="scalem" Type="string">"1.0"</field>
<field Name="highvoltage" Type="string">""</field>
<field Name="rabsclamp" Type="string">""</field>
<field Name="cols" Type="string">"80"</field>
<field Name="dcOpSeverity" Type="string">"None"</field>
<field Name="temp" Type="string">"27"</field>
</partition>
</component>
<component Name="subckts" Type="skillTable">subckt
<field Name="subcktKeepList" Type="list">nil</field>
</component>
<component Name="turboOptions" Type="skillTable">asiEnvSaveTable
<partition Name="turboOpts">
<field Name="spectreXAccuracyScale" Type="string">"MX"</field>
<field Name="errorLevel" Type="string">"Do not override"</field>
<field Name="numThreads" Type="string">""</field>
<field Name="dcOPSolver" Type="string">"APS"</field>
<field Name="spectreXEnablePostLayout" Type="list">nil</field>
<field Name="msdcOPSolver" Type="list">nil</field>
<field Name="spectreXMpOption" Type="string">"SSH"</field>
<field Name="psrSwitch" Type="list">nil</field>
<field Name="spectreXMtOption" Type="string">"Auto"</field>
<field Name="virtualPowerNodeValue" Type="list">nil</field>
<field Name="spectreXNumThreads" Type="string">""</field>
<field Name="mtOption" Type="string">"Auto"</field>
<field Name="spectreDecouplingCapacitorThreshold" Type="string">""</field>
<field Name="analogSubcktValue" Type="list">nil</field>
<field Name="presetOverrideText" Type="string">""</field>
<field Name="spectreResistorShortingThreshold" Type="string">""</field>
<field Name="analogInstValue" Type="list">nil</field>
<field Name="rcrNetFmax" Type="list">nil</field>
<field Name="virtualPowerNodeListBox" Type="skillDpl">
<field Name="choices" Type="list">nil</field>
<field Name="value" Type="list">nil</field>
</field>
<field Name="virtualPowerNodes" Type="list">nil</field>
<field Name="psrFmaxRCR" Type="string">""</field>
<field Name="enableXpsms" Type="list">nil</field>
<field Name="virtualGroundNodes" Type="list">nil</field>
<field Name="preserveOption" Type="string">"None"</field>
<field Name="proc_affinity" Type="string">""</field>
<field Name="spectreXMaxPerformance" Type="list">nil</field>
<field Name="apsplus" Type="list">nil</field>
<field Name="presetOverride" Type="list">nil</field>
<field Name="spectreXAdvanced" Type="list">nil</field>
<field Name="enable_proc_affinity" Type="list">nil</field>
<field Name="Savefilter" Type="string">""</field>
<field Name="psrOption" Type="string">"Default"</field>
<field Name="disableVddOverride" Type="symbol">t</field>
<field Name="preserveInst" Type="list">nil</field>
<field Name="uniModeSpectreX" Type="string">"APS"</field>
<field Name="digitalSubcktValue" Type="list">nil</field>
<field Name="spectreXMTPOption" Type="string">"Multi-Threading"</field>
<field Name="cktpreset" Type="string">"None"</field>
<field Name="digitalInstValue" Type="list">nil</field>
<field Name="envSwitch" Type="list">nil</field>
<field Name="uniMode" Type="string">"APS"</field>
<field Name="spectreXPreset" Type="string">"MX"</field>
<field Name="spectreXMpThreads" Type="string">""</field>
<field Name="digitalspeed" Type="string">"2"</field>
<field Name="spectreXHosts" Type="string">""</field>
<field Name="psrXpsmsOption" Type="string">"Default"</field>
<field Name="psrFmax" Type="string">""</field>
<field Name="spectreXEnableLocalizedRC" Type="list">nil</field>
<field Name="optvdd" Type="flonum">3.3</field>
<field Name="spectreXSocketHost" Type="string">"1"</field>
</partition>
</component>
<component Name="variables" Type="skillTable">variableTable
<field Name="saveComponent" Type="skillList">
<field Name="saveComponent_0" Type="defstruct">sevVariableStruct
<field Name="name" Type="string">"I_bias_n"</field>
<field Name="expression" Type="string">"500n"</field>
<field Name="index" Type="fixnum">1</field>
</field>
<field Name="saveComponent_1" Type="defstruct">sevVariableStruct
<field Name="name" Type="string">"I_bias_p"</field>
<field Name="expression" Type="string">"10p"</field>
<field Name="index" Type="fixnum">2</field>
</field>
<field Name="saveComponent_2" Type="defstruct">sevVariableStruct
<field Name="name" Type="string">"V_in_n"</field>
<field Name="expression" Type="string">"0"</field>
<field Name="index" Type="fixnum">3</field>
</field>
<field Name="saveComponent_3" Type="defstruct">sevVariableStruct
<field Name="name" Type="string">"V_in_p"</field>
<field Name="expression" Type="string">"0"</field>
<field Name="index" Type="fixnum">4</field>
</field>
<field Name="saveComponent_4" Type="defstruct">sevVariableStruct
<field Name="name" Type="string">"Vth_n"</field>
<field Name="expression" Type="string">"0.9"</field>
<field Name="index" Type="fixnum">5</field>
</field>
<field Name="saveComponent_5" Type="defstruct">sevVariableStruct
<field Name="name" Type="string">"Vth_p"</field>
<field Name="expression" Type="string">"0.9"</field>
<field Name="index" Type="fixnum">6</field>
</field>
</field>
</component>
</Test>
</statedb>

Binary file not shown.

View File

@ -0,0 +1,2 @@
-- Master.tag File, Rev:1.0
sch.oa

Binary file not shown.

Binary file not shown.

After

Width:  |  Height:  |  Size: 972 B

File diff suppressed because it is too large Load Diff

Binary file not shown.

View File

@ -0,0 +1,329 @@
<?xml version="1.0"?>
<setupdb version="6">maestro
<active>Active Setup
<jobcontrolmode>LSCS</jobcontrolmode>
<corners>
<corner enabled="1">_default</corner>
</corners>
<overwritehistory>0</overwritehistory>
<tests>
<test>CognigrOne_LR3_cDPI_diff_th_tb2_1
<tool>ADE</tool>
<tooloptions>
<option>cell
<value>cDPI_diff_th_tb2</value>
</option>
<option>lib
<value>CognigrOne_LR3</value>
</option>
<option>sim
<value>spectre</value>
</option>
<option>view
<value>schematic</value>
</option>
<option>path
<value>$AXL_SETUPDB_DIR</value>
</option>
<option>state
<value>active</value>
</option>
</tooloptions>
<vars>
<var>I_bias_n
<value>500n</value>
</var>
<var>I_bias_p
<value>{From/To}Auto:2n:10:20n{From/To}</value>
</var>
<var>inn_del
<value>1</value>
</var>
<var>inn_per
<value>1</value>
</var>
<var>inn_pw
<value>1</value>
</var>
<var>inp_del
<value>0.1</value>
</var>
<var>inp_per
<value>0.01</value>
</var>
<var>inp_pw
<value>1u</value>
</var>
<var>Vth_n
<value>0.9</value>
</var>
<var>Vth_p
<value>0.9</value>
</var>
</vars>
<origoptions>
<option>cell
<value>cDPI_diff_th_tb2</value>
</option>
<option>lib
<value>CognigrOne_LR3</value>
</option>
<option>sim
<value>spectre</value>
</option>
<option>view
<value>schematic</value>
</option>
</origoptions>
</test>
</tests>
<extensions>
<extension>Parasitics
<callback>_parSetupDBExtensionCB</callback>
<iconvalue></iconvalue>
<icontype></icontype>
</extension>
</extensions>
<currentmode>Single Run, Sweeps and Corners</currentmode>
<checksasserts netlist="0">
<test netlist="0" netlistscope="all">CognigrOne_LR3_cDPI_diff_th_tb2_1</test>
</checksasserts>
<plottingoptions>
<plottingoption>waveformtemplate
<value>(None)</value>
</plottingoption>
<plottingoption>plottingmode
<value>Replace</value>
</plottingoption>
<plottingoption>plottype
<value>None</value>
</plottingoption>
<plottingoption>usewaveformtemplate
<value>no</value>
</plottingoption>
<plottingoption>useMaestroPlottingTemplate
<value>yes</value>
</plottingoption>
<plottingoption>allplottingtemplates
<value></value>
</plottingoption>
<plottingoption>defaultplottingtemplate
<value></value>
</plottingoption>
</plottingoptions>
<exploreroptions>
<exploreroption>waveformtemplate
<value>(None)</value>
</exploreroption>
</exploreroptions>
<incrementalsimsetup>
<useincremental>0</useincremental>
<reusenetlist>0</reusenetlist>
<copyreferenceresults>1</copyreferenceresults>
</incrementalsimsetup>
<overwritehistoryname>ExplorerRun.0</overwritehistoryname>
</active>
<history>History
<historyentry assemblerOrExplorer="explorer" roOrView="view" runningOrFinished="finished">ExplorerRun.0
<checkpoint>
<jobcontrolmode>LSCS</jobcontrolmode>
<corners>
<corner enabled="1">_default</corner>
</corners>
<overwritehistory>0</overwritehistory>
<tests>
<test>CognigrOne_LR3_cDPI_diff_th_tb2_1
<tool>ADE</tool>
<tooloptions>
<option>cell
<value>cDPI_diff_th_tb2</value>
</option>
<option>lib
<value>CognigrOne_LR3</value>
</option>
<option>sim
<value>spectre</value>
</option>
<option>view
<value>schematic</value>
</option>
<option>path
<value>$AXL_SETUPDB_DIR/test_states</value>
</option>
<option>state
<value>ExplorerRun.0</value>
</option>
</tooloptions>
<vars>
<var>I_bias_n
<value>500n</value>
</var>
<var>I_bias_p
<value>{From/To}Auto:2n:10:20n{From/To}</value>
</var>
<var>inn_del
<value>1</value>
</var>
<var>inn_per
<value>1</value>
</var>
<var>inn_pw
<value>1</value>
</var>
<var>inp_del
<value>0.1</value>
</var>
<var>inp_per
<value>0.01</value>
</var>
<var>inp_pw
<value>1u</value>
</var>
<var>Vth_n
<value>0.9</value>
</var>
<var>Vth_p
<value>0.9</value>
</var>
</vars>
<origoptions>
<option>cell
<value>cDPI_diff_th_tb2</value>
</option>
<option>lib
<value>CognigrOne_LR3</value>
</option>
<option>sim
<value>spectre</value>
</option>
<option>view
<value>schematic</value>
</option>
</origoptions>
</test>
</tests>
<extensions>
<extension>Parasitics
<callback>_parSetupDBExtensionCB</callback>
<iconvalue></iconvalue>
<icontype></icontype>
</extension>
</extensions>
<currentmode>Single Run, Sweeps and Corners</currentmode>
<checksasserts netlist="0">
<test netlist="0" netlistscope="all">CognigrOne_LR3_cDPI_diff_th_tb2_1</test>
</checksasserts>
<plottingoptions>
<plottingoption>plottype
<value>Auto</value>
</plottingoption>
<plottingoption>autoplotmode
<value>Replace</value>
</plottingoption>
<plottingoption>useMaestroPlottingTemplate
<value>yes</value>
</plottingoption>
<plottingoption>waveformtemplate
<value>(None)</value>
</plottingoption>
<plottingoption>autoplotsignals
<value>yes</value>
</plottingoption>
<plottingoption>autoplotwaveexpr
<value>yes</value>
</plottingoption>
<plottingoption>autoplotscalarexpr
<value>yes</value>
</plottingoption>
<plottingoption>annotatedesignname
<value>yes</value>
</plottingoption>
<plottingoption>annotatesimdate
<value>yes</value>
</plottingoption>
<plottingoption>annotatedesignvars
<value>no</value>
</plottingoption>
<plottingoption>annotatetemperature
<value>no</value>
</plottingoption>
<plottingoption>annotatescalaroutputs
<value>no</value>
</plottingoption>
<plottingoption>annotatespecmarkers
<value>no</value>
</plottingoption>
<plottingoption>directplotmode
<value>Append</value>
</plottingoption>
<plottingoption>directplotafter
<value>All Selections Are Made</value>
</plottingoption>
<plottingoption>histogramtype
<value>pass/fail</value>
</plottingoption>
<plottingoption>histogrambins
<value>10</value>
</plottingoption>
<plottingoption>showhistogramdensity
<value>yes</value>
</plottingoption>
<plottingoption>showhistogramdeviation
<value>yes</value>
</plottingoption>
<plottingoption>showhistogrampercentmarkers
<value>no</value>
</plottingoption>
<plottingoption>histogramqqplot
<value>no</value>
</plottingoption>
<plottingoption>showhistogrampoints
<value>yes</value>
</plottingoption>
<plottingoption>printafter
<value>Each Selection</value>
</plottingoption>
<plottingoption>usewaveformtemplate
<value>no</value>
</plottingoption>
</plottingoptions>
<exploreroptions>
<exploreroption>waveformtemplate
<value>(None)</value>
</exploreroption>
</exploreroptions>
<incrementalsimsetup>
<useincremental>0</useincremental>
<reusenetlist>0</reusenetlist>
<copyreferenceresults>1</copyreferenceresults>
</incrementalsimsetup>
<overwritehistoryname>ExplorerRun.0</overwritehistoryname>
<runnabletests>
<test>CognigrOne_LR3_cDPI_diff_th_tb2_1</test>
</runnabletests>
<disabledtests></disabledtests>
<vars></vars>
<allsweepsenabled>1</allsweepsenabled>
<sortVariableValues>0</sortVariableValues>
</checkpoint>
<timestamp>Aug 30 11:30:47 2021</timestamp>
<uuid>{607786f9-7c50-4583-be94-5e45f6cf06d6}</uuid>
<resultsname>/home/p302242/libraries/CognigrOne_LR3/CognigrOne_LR3/cDPI_diff_th_tb2/maestro/results/maestro/ExplorerRun.0.rdb</resultsname>
<simresults>$AXL_SETUPDB_DIR/results/maestro/ExplorerRun.0.rdb</simresults>
<rawdatadelstrategy>SaveAll
<simdatasavemode>All</simdatasavemode>
</rawdatadelstrategy>
<netlistdelstrategy>SaveAll</netlistdelstrategy>
<uselocalpsfdir>false</uselocalpsfdir>
<localpsfdir>/tmp/bics02.hpc.rug.nl_p302242_113047223</localpsfdir>
<psfdir>/home/p302242/simulation/CognigrOne_LR3/cDPI_diff_th_tb2/maestro/results/maestro/ExplorerRun.0</psfdir>
<simdir>$AXL_PROJECT_DIR/CognigrOne_LR3/cDPI_diff_th_tb2/maestro/results/maestro/ExplorerRun.0</simdir>
<gendatasheetplotsonsimulation>0</gendatasheetplotsonsimulation>
<loggingdatabasedir>/home/p302242/libraries/CognigrOne_LR3/CognigrOne_LR3/cDPI_diff_th_tb2/maestro/results/maestro</loggingdatabasedir>
<runlog>/home/p302242/libraries/CognigrOne_LR3/CognigrOne_LR3/cDPI_diff_th_tb2/maestro/results/maestro/ExplorerRun.0.log</runlog>
<runlogfile>$AXL_SETUPDB_DIR/results/maestro/ExplorerRun.0.log</runlogfile>
<schematicpoint></schematicpoint>
<test>all</test>
</historyentry>
</history>
</setupdb>

View File

@ -0,0 +1,2 @@
-- Master.tag File, Rev:1.0
maestro.sdb

View File

@ -0,0 +1,13 @@
Starting Single Run, Sweeps and Corners...
Current time: Mon Aug 30 11:30:47 2021
Best design point: 1
Design specs:
CognigrOne_LR3_cDPI_diff_th_tb2_1 corner Nominal -
Design parameters:
ExplorerRun.0
Number of points completed: 10
Number of simulation errors: 0
ExplorerRun.0 completed.
Current time: Mon Aug 30 11:31:13 2021

File diff suppressed because it is too large Load Diff

Binary file not shown.

View File

@ -0,0 +1,2 @@
-- Master.tag File, Rev:1.0
sch.oa

Binary file not shown.

Binary file not shown.

After

Width:  |  Height:  |  Size: 934 B

File diff suppressed because it is too large Load Diff

Binary file not shown.

View File

@ -0,0 +1,329 @@
<?xml version="1.0"?>
<setupdb version="6">maestro
<active>Active Setup
<jobcontrolmode>LSCS</jobcontrolmode>
<corners>
<corner enabled="1">_default</corner>
</corners>
<overwritehistory>0</overwritehistory>
<tests>
<test>CognigrOne_LR3_cDPI_diff_th_tb3_1
<tool>ADE</tool>
<tooloptions>
<option>cell
<value>cDPI_diff_th_tb3</value>
</option>
<option>lib
<value>CognigrOne_LR3</value>
</option>
<option>path
<value>$AXL_SETUPDB_DIR</value>
</option>
<option>sim
<value>spectre</value>
</option>
<option>view
<value>schematic</value>
</option>
<option>state
<value>active</value>
</option>
</tooloptions>
<vars>
<var>I_bias_n
<value>100p</value>
</var>
<var>I_bias_p
<value>100p</value>
</var>
<var>inn_del
<value>1</value>
</var>
<var>inn_per
<value>1</value>
</var>
<var>inn_pw
<value>1</value>
</var>
<var>inp_del
<value>1</value>
</var>
<var>inp_per
<value>0.01</value>
</var>
<var>inp_pw
<value>1u</value>
</var>
<var>Vth
<value>1</value>
</var>
</vars>
<origoptions>
<option>cell
<value>cDPI_diff_th_tb3</value>
</option>
<option>lib
<value>CognigrOne_LR3</value>
</option>
<option>path
<value>$AXL_SETUPDB_DIR/test_states</value>
</option>
<option>sim
<value>spectre</value>
</option>
<option>view
<value>schematic</value>
</option>
</origoptions>
</test>
</tests>
<extensions>
<extension>Parasitics
<callback>_parSetupDBExtensionCB</callback>
<iconvalue></iconvalue>
<icontype></icontype>
</extension>
</extensions>
<currentmode>Single Run, Sweeps and Corners</currentmode>
<checksasserts netlist="0">
<test netlist="0" netlistscope="all">CognigrOne_LR3_cDPI_diff_th_tb3_1</test>
</checksasserts>
<plottingoptions>
<plottingoption>waveformtemplate
<value>(None)</value>
</plottingoption>
<plottingoption>plottingmode
<value>Replace</value>
</plottingoption>
<plottingoption>plottype
<value>None</value>
</plottingoption>
<plottingoption>usewaveformtemplate
<value>no</value>
</plottingoption>
<plottingoption>useMaestroPlottingTemplate
<value>yes</value>
</plottingoption>
<plottingoption>allplottingtemplates
<value></value>
</plottingoption>
<plottingoption>defaultplottingtemplate
<value></value>
</plottingoption>
</plottingoptions>
<exploreroptions>
<exploreroption>waveformtemplate
<value>(None)</value>
</exploreroption>
</exploreroptions>
<incrementalsimsetup>
<useincremental>0</useincremental>
<reusenetlist>0</reusenetlist>
<copyreferenceresults>1</copyreferenceresults>
</incrementalsimsetup>
<overwritehistoryname>ExplorerRun.0</overwritehistoryname>
</active>
<history>History
<historyentry assemblerOrExplorer="explorer" roOrView="view" runningOrFinished="finished">ExplorerRun.0
<checkpoint>
<jobcontrolmode>LSCS</jobcontrolmode>
<corners>
<corner enabled="1">_default</corner>
</corners>
<overwritehistory>0</overwritehistory>
<tests>
<test>CognigrOne_LR3_cDPI_diff_th_tb3_1
<tool>ADE</tool>
<tooloptions>
<option>cell
<value>cDPI_diff_th_tb3</value>
</option>
<option>lib
<value>CognigrOne_LR3</value>
</option>
<option>path
<value>$AXL_SETUPDB_DIR/test_states</value>
</option>
<option>sim
<value>spectre</value>
</option>
<option>view
<value>schematic</value>
</option>
<option>state
<value>ExplorerRun.0</value>
</option>
</tooloptions>
<vars>
<var>I_bias_n
<value>100p</value>
</var>
<var>I_bias_p
<value>100p</value>
</var>
<var>inn_del
<value>1</value>
</var>
<var>inn_per
<value>1</value>
</var>
<var>inn_pw
<value>1</value>
</var>
<var>inp_del
<value>1</value>
</var>
<var>inp_per
<value>0.01</value>
</var>
<var>inp_pw
<value>1u</value>
</var>
<var>Vth
<value>1</value>
</var>
</vars>
<origoptions>
<option>cell
<value>cDPI_diff_th_tb3</value>
</option>
<option>lib
<value>CognigrOne_LR3</value>
</option>
<option>path
<value>$AXL_SETUPDB_DIR/test_states</value>
</option>
<option>sim
<value>spectre</value>
</option>
<option>view
<value>schematic</value>
</option>
</origoptions>
</test>
</tests>
<extensions>
<extension>Parasitics
<callback>_parSetupDBExtensionCB</callback>
<iconvalue></iconvalue>
<icontype></icontype>
</extension>
</extensions>
<currentmode>Single Run, Sweeps and Corners</currentmode>
<checksasserts netlist="0">
<test netlist="0" netlistscope="all">CognigrOne_LR3_cDPI_diff_th_tb3_1</test>
</checksasserts>
<plottingoptions>
<plottingoption>plottype
<value>Auto</value>
</plottingoption>
<plottingoption>autoplotmode
<value>Replace</value>
</plottingoption>
<plottingoption>useMaestroPlottingTemplate
<value>yes</value>
</plottingoption>
<plottingoption>waveformtemplate
<value>(None)</value>
</plottingoption>
<plottingoption>autoplotsignals
<value>yes</value>
</plottingoption>
<plottingoption>autoplotwaveexpr
<value>yes</value>
</plottingoption>
<plottingoption>autoplotscalarexpr
<value>yes</value>
</plottingoption>
<plottingoption>annotatedesignname
<value>yes</value>
</plottingoption>
<plottingoption>annotatesimdate
<value>yes</value>
</plottingoption>
<plottingoption>annotatedesignvars
<value>no</value>
</plottingoption>
<plottingoption>annotatetemperature
<value>no</value>
</plottingoption>
<plottingoption>annotatescalaroutputs
<value>no</value>
</plottingoption>
<plottingoption>annotatespecmarkers
<value>no</value>
</plottingoption>
<plottingoption>directplotmode
<value>Append</value>
</plottingoption>
<plottingoption>directplotafter
<value>All Selections Are Made</value>
</plottingoption>
<plottingoption>histogramtype
<value>pass/fail</value>
</plottingoption>
<plottingoption>histogrambins
<value>10</value>
</plottingoption>
<plottingoption>showhistogramdensity
<value>yes</value>
</plottingoption>
<plottingoption>showhistogramdeviation
<value>yes</value>
</plottingoption>
<plottingoption>showhistogrampercentmarkers
<value>no</value>
</plottingoption>
<plottingoption>histogramqqplot
<value>no</value>
</plottingoption>
<plottingoption>showhistogrampoints
<value>yes</value>
</plottingoption>
<plottingoption>printafter
<value>Each Selection</value>
</plottingoption>
<plottingoption>usewaveformtemplate
<value>no</value>
</plottingoption>
</plottingoptions>
<exploreroptions>
<exploreroption>waveformtemplate
<value>(None)</value>
</exploreroption>
</exploreroptions>
<incrementalsimsetup>
<useincremental>0</useincremental>
<reusenetlist>0</reusenetlist>
<copyreferenceresults>1</copyreferenceresults>
</incrementalsimsetup>
<overwritehistoryname>ExplorerRun.0</overwritehistoryname>
<runnabletests>
<test>CognigrOne_LR3_cDPI_diff_th_tb3_1</test>
</runnabletests>
<disabledtests></disabledtests>
<vars></vars>
<allsweepsenabled>1</allsweepsenabled>
<sortVariableValues>0</sortVariableValues>
</checkpoint>
<timestamp>Aug 30 13:45:03 2021</timestamp>
<uuid>{fa79cdb6-9228-4632-938e-891afcca86ed}</uuid>
<resultsname>/home/p302242/libraries/CognigrOne_LR3/CognigrOne_LR3/cDPI_diff_th_tb3/maestro/results/maestro/ExplorerRun.0.rdb</resultsname>
<simresults>$AXL_SETUPDB_DIR/results/maestro/ExplorerRun.0.rdb</simresults>
<rawdatadelstrategy>SaveAll
<simdatasavemode>All</simdatasavemode>
</rawdatadelstrategy>
<netlistdelstrategy>SaveAll</netlistdelstrategy>
<uselocalpsfdir>false</uselocalpsfdir>
<localpsfdir>/tmp/bics02.hpc.rug.nl_p302242_134503404</localpsfdir>
<psfdir>/home/p302242/simulation/CognigrOne_LR3/cDPI_diff_th_tb3/maestro/results/maestro/ExplorerRun.0</psfdir>
<simdir>$AXL_PROJECT_DIR/CognigrOne_LR3/cDPI_diff_th_tb3/maestro/results/maestro/ExplorerRun.0</simdir>
<gendatasheetplotsonsimulation>0</gendatasheetplotsonsimulation>
<loggingdatabasedir>/home/p302242/libraries/CognigrOne_LR3/CognigrOne_LR3/cDPI_diff_th_tb3/maestro/results/maestro</loggingdatabasedir>
<runlog>/home/p302242/libraries/CognigrOne_LR3/CognigrOne_LR3/cDPI_diff_th_tb3/maestro/results/maestro/ExplorerRun.0.log</runlog>
<runlogfile>$AXL_SETUPDB_DIR/results/maestro/ExplorerRun.0.log</runlogfile>
<schematicpoint></schematicpoint>
<test>all</test>
</historyentry>
</history>
</setupdb>

View File

@ -0,0 +1,2 @@
-- Master.tag File, Rev:1.0
maestro.sdb

View File

@ -0,0 +1,13 @@
Starting Single Run, Sweeps and Corners...
Current time: Mon Aug 30 13:45:04 2021
Best design point: 1
Design specs:
CognigrOne_LR3_cDPI_diff_th_tb3_1 corner Nominal -
Design parameters:
ExplorerRun.0
Number of points completed: 1
Number of simulation errors: 0
ExplorerRun.0 completed.
Current time: Mon Aug 30 13:45:11 2021

File diff suppressed because it is too large Load Diff

Binary file not shown.

View File

@ -0,0 +1,2 @@
-- Master.tag File, Rev:1.0
sch.oa

Binary file not shown.

Binary file not shown.

After

Width:  |  Height:  |  Size: 932 B

Some files were not shown because too many files have changed in this diff Show More